+ All Categories
Home > Documents > Licenta EA 2014

Licenta EA 2014

Date post: 02-Jun-2018
Category:
Upload: pauls91
View: 235 times
Download: 1 times
Share this document with a friend

of 210

Transcript
  • 8/10/2019 Licenta EA 2014

    1/210

    UNIVERSITATEA POLITEHNICA DIN TIMIOARA

    Facultatea de Electronici Telecomunicaii

    EXAMEN LICEN

    SPECIALIZAREAELECTRONICAPLICAT

    2012-2013

  • 8/10/2019 Licenta EA 2014

    2/210

    UNIVERSITATEA POLITEHNICA DIN TIMIOARA

    Facultatea de Electronici Telecomunicaii

    EXAMEN LICEN

    SPECIALIZAREAELECTRONICAPLICAT

    2012-2013

  • 8/10/2019 Licenta EA 2014

    3/210

    Cuprins

    Discipline fundamentale

    Uniti de msur..1

    Noiuni generale de Fizic.4

    Concepte/teoreme matematice de uz practic n exercitarea profesiei de inginer.......9

    Circuite electronice fundamentale...17

    Circuite integrate analogice.31

    Circuite integrate digitale48

    Sisteme de prelucrare numericcu procesoare............................... 61

    Semnale i sisteme.......82

    Zona tematic5 (aplicaii)...94

    Discipline de specialitate

    Aparate electronice de msurat......112

    Bazele sistemelor flexibile inteligente...126

    Electronicde putere n comutaie....168

    Radiocomunicaii...179

    Sisteme cu logicprogramabil.190

    Sisteme de achiziii de date...199

    Testarea echipamentelor pentru EA..206

  • 8/10/2019 Licenta EA 2014

    4/210

    1

    UNITTI DE MSUR

    ale Sistemului International

  • 8/10/2019 Licenta EA 2014

    5/210

    2

    1. Specificai unitatea SI pentru mas i simbolul ei. Specificai factorul de multiplicare i simbolul pentrumicro (exemplu: atto = 10-18, a).

    Unitatea SI pentru mas este kilogramul. Simbolul su este kg. Factorul de multiplicare pentru micro este

    10-6. Simbolul su este .

    2. Specificai unitatea SI pentru lungime. Specificai factorul de multiplicare i simbolul pentru mili (exemplu:atto = 10-18, a).

    Unitatea SI pentru lungime este metrul. Simbolul su este m. Factorul de multiplicare pentru mili este 10-

    3. Simbolul su este m.

    3. Specificai unitatea SI pentru timp. Specificai factorul de multiplicare i simbolul pentru micro (exemplu:atto = 10-18, a).

    Unitatea SI pentru timp este secunda. Simbolul su este s. Factorul de multiplicare pentru micro este 10-6.

    Simbolul su este .

    4. Specificai unitatea SI pentru curentul electric. Specificai factorul de multiplicare i simbolul pentru mili

    (exemplu: atto = 10-18

    , a).Unitatea SI pentru curentul electric este amperul. Simbolul su este A. Factorul de multiplicare pentru mili

    este 10-3. Simbolul su este m.

    5. Specificai unitatea SI pentru viteza unghiular. Specificai factorul de multiplicare i simbolul pentru kilo(exemplu: atto = 10-18, a).

    Unitatea SI pentru angular viteza unghiular este radianul pe secund. Simbolul su este rad/s. Factorul

    de multiplicare pentru kilo este 103. Simbolul su este k.

    6. Specificai unitatea SI pentru frecven. Specificai factorul de multiplicare i simbolul pentru tera(exemplu: atto = 10-18, a).

    Unitatea SI pentru frecven este herul. Simbolul su este Hz. Factorul de multiplicare pentru tera este1012. Simbolul su este T.

    7. Specificai unitatea SI pentru energie, lucru mecanic i cldur. Specificai factorul de multiplicare isimbolul pentru mega (exemplu: atto = 10-18, a).

    Unitatea SI pentru energie, lucru mecanic i cldur este joulul. Simbolul su este J. Factorul de

    multiplicare pentru mega este 106. Simbolul su este M.

    8. Specificai unitatea SI pentru putere i flux radiant. Specificai factorul de multiplicare i simbolul pentrugiga (exemplu: atto = 10-18, a).

    Unitatea SI pentru putere i flux radiant este wattul. Simbolul su este W. Factorul de multiplicare pentru

    giga este 109. Simbolul su este G.

    9. Specificai unitatea SI pentru for sarcin electric i cantitate de electricitate. Specificai factorul demultiplicare i simbolul pentru femto (exemplu: atto = 10-18, a).

    Unitatea SI pentru sarcin electric i cantitate de electricitate este coulombul. Simbolul su este C.

    Factorul de multiplicare pentru femto este 10-15. Simbolulsu este f.

    10. Specificai unitatea SI pentru tensiune electric, diferen de potenial i tensiune electromotoare.Specificai factorul de multiplicare i simbolul pentru nano (exemplu: atto = 10-18, a).

  • 8/10/2019 Licenta EA 2014

    6/210

  • 8/10/2019 Licenta EA 2014

    7/210

    4

    Noiuni generale de fizic

  • 8/10/2019 Licenta EA 2014

    8/210

    5

  • 8/10/2019 Licenta EA 2014

    9/210

  • 8/10/2019 Licenta EA 2014

    10/210

    7

  • 8/10/2019 Licenta EA 2014

    11/210

    8

  • 8/10/2019 Licenta EA 2014

    12/210

    9

    CONCEPTE / TEOREME MATEMATICE DE UZPRACTIC

    N EXERCITAREA PROFESIEI DE INGINER

  • 8/10/2019 Licenta EA 2014

    13/210

    10

  • 8/10/2019 Licenta EA 2014

    14/210

    11

  • 8/10/2019 Licenta EA 2014

    15/210

    12

  • 8/10/2019 Licenta EA 2014

    16/210

    13

  • 8/10/2019 Licenta EA 2014

    17/210

    14

  • 8/10/2019 Licenta EA 2014

    18/210

    15

  • 8/10/2019 Licenta EA 2014

    19/210

    16

  • 8/10/2019 Licenta EA 2014

    20/210

    17

    CIRCUITE ELECTRONICE FUNDAMENTALE

    Anul II

  • 8/10/2019 Licenta EA 2014

    21/210

    18

    1. Pentru un amplificator cu un tranzistor n conexiunea colector comun (repetor pe

    emitor), desenai schema si comentai valorile pentru: amplificarea in tensiune,rezistena de intrare i cea de ieire.Curs 14 (pg. 1-2).

    ObsLa studiul comportrii cu frecvena a tranzistorului bipolar au fost introduse o serie de frecvene

    caracteristice: frecvena de tiere i frecvena de tranziie. Aceste mrimi caracterizeaz tranzistorul n

    conexiune EC.

    Se definete un parametru similar frecventei de taiere ,, i pentru conexiunea BC.

    T

    ECB

    BC

    ff

    ff

    n conexiunea BC tranzistorul se comporta mult mai bine cu frecvena deoareceBT fff

    Comportarea la frecvene medii a etajului

    de amplificare a tranzistorului bipolar

    Din analiza precedent a rezultat c la frecvene medii unde pot fi neglijate reactanele condensatoarelordin circuiti la care ns putem utiliza modelul cu parametrii h schema echivalent de semnal mic a unui etaj de amplificare pentru

    orice tip de conexiune (EC, BC, CC) poate fi redus la o singur schem echivalent:

    Obs

    S-a preferat utilizarea parametrilor h deoarece analiza poate fi fcut pentru toate cele trei conexiuni

    utiliznd o singur schem echivalent i particulariznd valorile parametrilor h corespunztori conexiunii

    avute n vedere.

  • 8/10/2019 Licenta EA 2014

    22/210

    19

    2

    1

    11

    1

    22

    211211

    121111

    1121111221110121111

    1

    1

    22

    21

    121222

    2221212201212

    20

    1

    2

    1

    L

    Lii

    iL

    iLL

    i

    L

    i

    L

    L

    L

    ei

    Rh

    hhRhZR

    AhRhIU

    IAhRIhhRIIhUhIhU

    I

    UZ

    Rh

    hA

    IhRhI

    RIhIhhUIhI

    RIU

    I

    I

    I

    IA

    Obs

    1. semnul ,,- n expresia unei amplificri semnific faptul c acel amplificator introduce un defazaj de180o

    2. se constat apoi c mrimea rezistenei de intrare este influenat de rezistena de sarcinLR

    KKR

    KR

    i

    L

    100,20,2

    1

    2. Desenati schema electric a unei reele Wien precum i modul de conectare.pentru a realiza un oscilator Wien. Explicati modul de functionare al oscilatorului.

    Curs 26 (pg. 7-8).

  • 8/10/2019 Licenta EA 2014

    23/210

    20

    3

    1

    1

    1

    110

    1,

    11

    1

    111

    1

    11

    1

    1

    1

    1

    1

    1

    1

    1

    2

    2

    10

    2211

    0120

    21

    0

    21

    2211

    2

    21

    2211

    21

    21

    2211

    2

    1122

    2

    22

    1

    11

    22

    2

    1

    11

    2

    2

    2

    2

    1

    1

    2

    1

    2

    121

    2

    C

    C

    R

    R

    RCRCRCRC

    RCj

    RCj

    RCRC

    RC

    RCRC

    RCj

    RCj

    RCRCRCjRCjj

    R

    RCj

    Cj

    RCj

    RCj

    R

    Cj

    RCj

    CjR

    CjR

    CjR

    Z

    Z

    Z

    ZZZ

    Z

    U

    Uj

    o

    o

    r

    Se constat comportamentul selectiv ca urmare apariia i mulimea oscilaiilor este determinat nu

    numai de condiia de faz dar i de condiia de amplitudine deoarece pentru max,0 j respectiv

    min

    1

    ji prin urmare pentru aceast pulsaie poate fi satisfcut condiia de amplitudine (1).

    De regul acest oscilator este cu frecvena reglabil, reglarea frecvenei fcndu-se n trepte, princomutarea capacitilor

    21,CC , i continuu, modificndu-se simultan rezistenele 21,RR

  • 8/10/2019 Licenta EA 2014

    24/210

    21

    D

    e regul oscilatoarele cu circuitul Wien se realizeaz n jurul unui amplificator operaional ca n figura:

    3. In ce regim (clas) de funcionare a amplificatoarelor apar distorsiunile deracordare? Explicai apariia lor pe baza unei figuri.Curs 19 (pg. 5-6).

    Obs

    1. pe durata cte unei semialternane tranzistorul funcioneaz n conexiune CC.2. pentru a asigura o excursie simetric la ieire tensiunile celor dou surse de tensiune se iau egale

    EEE

    3. Datorit tensiunii de deschidere semnalul de ieire va prezenta distorsiuni neliniare, aa numiteledistorsiuni de racordare.

  • 8/10/2019 Licenta EA 2014

    25/210

    22

    4. distorsiunile de racordare pot fi diminuate prin prepolarizarea tranzistoarelor finale (aducndu-seuor spre limita de conducie). Polarizarea tranzistoarelor final prezint dezavantajul c poateconduce la ambalarea termic a tranzistoarelor.

    5. Exist posibilitatea alimentrii etajului de ieire de la o singur surs de alimentare.

    6. ponderea distorsiunilor de racordare (de trecere) scade pentru semnalele de intrare mai mari.

    7. Pentru semnale de intrare foarte mari apar distorsiuni datorate intrrii tranzistoarelor n saturaie.

    ETAJE DE IEIRE N CONTRATIMP

    CU TRANZISTOARE COMPUSE

    Etajul de ieire prezentat anterior necesit o putere de comand nsemnat de la etajul prefinal care n precede.Pentru a diminua aceast putere de comand se utilizeaz aa-numitele tranzistoare compuse. Acestea constituie combinaiide dou sau chiar trei tranzistoare astfel conectate nct echivaleaz funcional cu un tranzistor dar care necesit un curen tde comand de baz substanial mai redus.

    Se constat uor reducerea substanial a curenilor de comand dar n acelaitimp circuitul prezint dezavantajul nserierii a dou jonciuni emitoare fapt ce reducepanta tranzistorului echivalent.

    4. Cum trebuie s fie frecvena polului dominant din metoda decompensare cu

    acelai nume, fa de frecvenele polil or amplificatorului necompensat. Justificairspunsul.Curs 25 (pg. 1).

  • 8/10/2019 Licenta EA 2014

    26/210

    23

    Compensarea cu poli dominant se realizeaz prin conectarea unui condensator de compensare ntre

    dou etaje succesive ale amplificatorului.

    )2(

    1111

    )(

    )1(

    111

    )(

    321

    321

    jjjj

    AjA

    jjj

    AjA

    d

    C

    00000

    0

    1

    1

    1

    1

    1

    1

    1

    1

    1

    RRCjRR

    R

    RRCjRR

    R

    Cj

    RR

    Cj

    R

    CjR

    CjR

    R

    CjR

    CjR

    iCi

    i

    iCi

    i

    C

    i

    C

    i

    C

    i

    C

    i

    C

    i

    C

    i

    d

    d

    dCi

    T

    TCRR

    1

    0

    5. Explicai rolul tranzistorului pilot al unui amplificator in contratimp respectiv cel altranzistoarelor finale.Curs 20 (pg. 2-3).

    Etaje de ieire de clas A B

  • 8/10/2019 Licenta EA 2014

    27/210

    24

    Aceste etaje funcioneaz ntr-un regim care se situeaz ntre regimul de funcionare clas A i regimul

    de funcionare clas B (mai aproape de clas B, n lipsa semnalului tranzistoarele nc conduc puin). Etajele n

    clas AB prezint distorsiuni de racordare mai reduse.

    Aceste distorsiuni sunt cu att mai sczute cu ct tranzistoarele conduc mai mult n lipsa semnalului.

    Acest fapt poate conduce ns pe de alt parte la pericolul ambalrii termice. n clas AB randamentul este i el

    ceva mai sczut.

    Dezavantajul schemei l constituie instabilitatea funcionrii cu temperatura.

    2

    1

    21

    2

    1

    R

    Ruu

    RR

    Ruu

    BED

    DBE

    Neajunsul schemei l constituie lipsa unui control facil al tranzistorului de prepolarizare. Acest neajuns

    poate fi eliminat nlocuind cele doua diode cu o superdioda.

    Bootstraparea etajului final

    Bootstraparea este o metod de a obine excursia maxim posibil la ieire ncondiiile n care se utilizeaz aceleai tensiuni de alimentare.

    Vom considera situaia n care conduce T1. Care este valoarea tensiuniide comand?

    1EuEuu cesBEicm

    Din relaia (1) rezult c etajul prefinal ar trebui alimentat cu o

    tensiune EE' pentru a putea asigura comanda necesar pentru excursia maxim la ieire.

    Pe de alt parte excursia de la ieirea etajului prefinal este diminuat fa de tensiunea de alimentare

    ca urmare a dreptei de sarcin dinamic.

  • 8/10/2019 Licenta EA 2014

    28/210

    25

    6. Cum se modific rezistena echivalent de intrare i de ieire in cazul introduceriin circuit a unei reacii negative de tip paralel-paralel. Justificati raspunsul prin relatii.Curs 23 (pg. 3).

    Reacie serie paralel

    Sch,sch,sch,sch,sch

    )1(

    ,:

    ,:

    2221212

    2121111

    21

    21

    UHIHI

    UHIHU

    IUVD

    UIVI

    0

    0

    0

    if

    r

    r

    I

    r

    rof

    Uif

    R

    U

    if

    if

    if

    I

    UR

    I

    I

    I

    UR

    Se rearanjeaz schema.

    7. Calculati frecventa limita la inalte a unui amplificator cu reactie, cunoscind frecventa limita la

    inalte pentru amplificatorul fara reactie si factorul de desensibilizare F=1+A.Curs 21 (pg. 7-8).

    Efectul reaciei negative asupra caracteristicii de frecven

    Vom considera pentru nceput comportarea la nalt frecven. Cazul unui amplificator avnd funcia de rspuns lafrecven cu un singur pol.

  • 8/10/2019 Licenta EA 2014

    29/210

    26

    ii

    i

    r

    i

    i

    i

    i

    r

    i

    TATjAjA

    Tj

    A

    A

    Tj

    AATj

    AA

    TjATj

    A

    jA

    jAjA

    j

    Tj

    AjA

    lg20lg201log20log20log20

    1

    11

    1

    111

    1

    1

    11

    11

    2

    r

    i

    irir

    ir

    AA

    A

    AATT

    AT

    lg201

    lg20

    1lg20lg201

    log20lg201

    Obs

    Se constat c reacia negativ mrete de A1 ori frecvena limit superioar a benzii de trecere.

    Analiza la joase frecvene

    Presupunem o funcie cu rspuns la frecven cu un singur pol.

  • 8/10/2019 Licenta EA 2014

    30/210

    27

    jr

    jrr

    r

    j

    j

    jj

    j

    j

    jj

    j

    r

    j

    j

    Tj

    TAjA

    ATj

    ATj

    A

    A

    TjATj

    TjA

    TjTjATj

    TjA

    jA

    jAjA

    ATj

    TjjA

    111

    1

    1

    11

    1

    1

    11

    11

    8. Desenati schema echivalenta de zgomot a unui amplificator si definit factorul de zgomot F.Curs 20 (pg. 7-8).

    Factorul de zgomot este parametrul prin care se apreciaz performanele de zgomot ale unui amplificator.

    22

    2

    1

    1

    AU

    UF

    F

    P

    PF

    zgo

    zto

    zgo

    zto

    n general din punct de vedere al zgomotelor unui amplificator este apreciat pe baza urmtoarei

    scheme echivalente. Studiul zgomotelor se face ntotdeauna pentru ansamblul generator-amplificator.

    t - total

  • 8/10/2019 Licenta EA 2014

    31/210

    28

    g generatorului

    1144

    1

    4

    1

    2

    222

    2

    2

    22

    2

    2

    22

    22222

    22

    2

    Zp

    g

    g

    ZD

    z

    g

    zg

    g

    z

    gzg

    zg

    zg

    zg

    z

    zgL

    L

    zgzzg

    zg

    zto

    R

    R

    R

    R

    I

    RfTK

    IR

    RfTK

    UF

    RfTKU

    U

    IR

    U

    U

    UR

    A

    R

    AIRUU

    UA

    UF

    9. Demonstrati efectul reactie negative asupra variatiei relative a amplificarii.Curs 21 (pg. 1-2).

    Zgomotul tranzistorului bipolarSursele de zgomot ce caracterizeaz un tranzistor bipolar se introduc cel mai frecvent n modelul cu parametrii

    naturali.

    2

    1

    2

    4

    1000500

    12

    14

    2

    '2

    f

    m

    z

    t

    m

    bbz

    g

    fTK

    I

    Hzcstf

    gRfTKU

    n cazul tranzistorului bipolar se pot defini mrimilem

    bbzsg

    RR2

    1'

  • 8/10/2019 Licenta EA 2014

    32/210

    29

    min;1

    1

    2

    FFR

    R

    R

    R

    F

    g

    R

    zp

    g

    g

    zs

    f

    m

    zp

    Obs.

    1. Deoarece factorul de zgomot depinde de mrimile mg i mrimi care la rndul lor sunt

    determinate de curentul de colector, nseamn c exist o valoare a curentului de colectorcI

    pentru care F=Fmin.2. n cataloage se specific o serie de informaii privind zgomotul tranzistoarelor bipolare.

    3.4.

    3. ntr-un amplificator principalele surse de zgomot sunt rezistenele.4. Pentru a obine un amplificator nezgomotos se minimizeaz efectul surselor de zgomot i se caut

    s se fac adaptarea cu rezistena generatorului de semnal pentru a se obine Fmin.Se demonstreaz c n cazul unui amplificator cu mai multe etaje zgomotele primului etaj influeneaz

    n cea mai mare msur performanele de zgomot ale amplificatorului.

    2

    1

    2

    2

    2

    1A

    F

    R

    RFF

    g

    g

    10. Prezentati schema si functionarea unui oscilator Colpitts.

    Curs 27 (pg. 5-6).

    Oscilatorul COLLPITS

    Utilizeaz transformatorul capacitiv.

  • 8/10/2019 Licenta EA 2014

    33/210

    30

    Analizm varianta cu tranzistor cu efectul de cmp.

    Tensiunile rU i 0U sunt la rezonan defazate cu 180 deoarece amplificatorul introduce i el un

    defazaj de 180 nseamn c exist reacie pozitiv i prin urmare, pot aprea oscilaii.

    Frecvena oscilaiilor este egal cu frecvena de rezonan a circuitului oscilant.

    21

    210 ;

    1

    CC

    CCC

    LC e

    e

    Pentru stabilirea condiiei de amplitudine se va calcula separat amplificarea respectiv j cecaracterizeaz circuitul de reacie.

    amorsaredeconditia-11

    1

    1

    1

    1

    1

    2

    2

    1

    0

    2

    1

    1

    2

    0

    0

    p

    m

    pm

    r

    pm

    gs

    pgsm

    gs

    RC

    Cg

    C

    CRg

    jA

    C

    C

    CjI

    CjI

    U

    Uj

    RgU

    RUg

    U

    UA

    Obs

    1. bobina de oc LX asigur alimentarea cu tensiune continu a circuitului n condiiile n careblocheaz componenta variabil spre sursa de semnal (care reprezint pentru aceast component unscurtcircuit).

    2. la acest oscilator frecvena este dificil de reglat n mod continuu, de aceea, se utilizeaz pentrugenerarea unor frecvene fixe.

  • 8/10/2019 Licenta EA 2014

    34/210

    31

    CIRCUITE INTEGRATE ANALOGICE

    Anul II

  • 8/10/2019 Licenta EA 2014

    35/210

    32

    1. Specificati si definiti cinci parametri referitori la circuitul de intrare a AO. (2.2, pag.68-69)

    1. Parametri referitori la circuitul de intrare:

    - tensiunea de decalaj iniial Uio (sau decalaj iniial de tensiune ori offset de tensiune) reprezentnd

    o tensiune de eroare cauzat de inegalitatea tensiunilor U BE (UGS) ale tranzistoarelor de la intrrile etajului

    diferenial de intrare. Acest decalaj iniial se msoar prin tensiunea ce trebuie aplicat la o intrare, cu o

    polaritate sau alta, pentru a realiza o tensiune de ieire nul (exemplu: 1...5 mV la amplificatoare operaionale

    cu tranzistoare bipolare uzuale, 10 mV la cele cu intrare pe TECJ sau MOS, 10 V la amplificatoare hib ride cu

    pereche TECJ, 1 V la amplificatoare hibride cu chopper);

    - deriva termic de tensiune, Uio/T, denumit i sensibilitate termic sau coeficient de temperatur

    al tensiunii de decalaj iniial; arat variaia cu temperatura a acestei tensiuni i se msoar n V/oC;

    - curentul de polarizare a intrrilor (sau curent de intrare), reprezentnd valoarea medie a curenilor

    de la cele dou intrri. Exemplu:

    2

    III 2B1BB

    pentru intrare pe tranzistoare bipolare. Valoarea acestui curent depinde de tipul etajului diferenial de intrare;

    - curentul de decalaj iniial, Iio (sau offset de curent) reprezentnd eroarea cauzat de inegalitatea

    curenilor de intrare ai etajului diferenial; este msurat ca diferen a curenilor de la cele dou intrri n

    situaia cnd Ue=0 (de obicei Iio

  • 8/10/2019 Licenta EA 2014

    36/210

    33

    2. Specificati si definiti doi parametri referitori la comportarea in regim dinamic a AO. (2.2, pag.70)

    2. Parametri referitori la comportarea n regim dinamic (ca amplificator):

    - amplificarea de tensiune, fr reacie, la semnal mare, n condiii de E i RS precizate. Valoarea

    amplificrii este n mod obinuit 100.000...300.000;

    - banda de frecven la amplificare unitar, ce reprezint frecvena de tiere a axei logf de ctre

    caracteristica de frecven a amplificatorului fr reacie corectat (sau frecvena de tiere a amplificatorului cu

    reacie n regim de repetor, cnd Aur=1, respectiv cnd 20log Aur =0);

    - viteza maxim de cretere a tensiunii de ieire, slew-rate, notat SR, pentru semnal mare. La uneleamplificatoare (cu corecie extern) se d viteza maxim realizabil pentru diferite corecii ( care se aleg n

    funcie de amplificarea cu reacie dorit).

    Pentru ca un semnal sinusoidal cu anumit amplitudine s sufere distorsiuni mici - 1% - la trecerea prin

    amplificator, trebuie ca mrimea SR s aib o valoare:

    SR 2fmax (uem)max,

    iar pentru distorsiuni mai mici, coeficientul

    2 se nlocuiete cu unul mai mare (3...4

    pentru 0,5% sau chiar 8...10 pentrudistorsiuni neglijabile). Deseori se d n

    catalog caracteristica (uem)max = F(f max)

    rezultat din relaia de mai sus, pentru

    semnal sinusoidal cu distorsiuni 1% i o

    anumit corecie (deci o anumit vitez

    SR), (fig.2.9). Abaterea de la forma de

    variaie hiperbolic este datorat atingerii

    excursiei maxime de tensiune la ieirea AO

    impus de alimentare i sarcin.

    3. Prezentati oglinda de curent cu tranzistor tampon si analizati valoarea raportului dintre curentii depe cele doua ramuri in contextul utilizarii sale ca si sarcina activa pentru un etaj diferential deintrare dintr-un AO integrat. (1.1, pag.26)

    E, RS

    sinus cu

    fmax log f

    uem

    E-1V

    CC dat

    (uem)max

    Fig. 2.9. Amplitudinea maxim a semnalului

    sinusoidal de la ieirea AO n funcie

    de frecven, n condiiile n care

  • 8/10/2019 Licenta EA 2014

    37/210

    34

    3. Oglinda de curent cu tranzistor tampon

    Schema acestei oglinzi, folosit ca sarcin activ n etajul diferenial de intare al amplificatoarelor

    integrate (operaionale) este dat n fig.1.6. Tranzistorul T3, denumit tampon, prelund un curent foarte mic

    din Iref, face ca cei doi cureni Ie i Irefs fie foarte apropiai.

    Efectul Early apare i aici deoarece tranzistoarele

    lucreaz la tensiuni colector-emitor diferite. Astfel, UCE2 =UBE3+UBE2 1,2 V iar UCE3>UCE1>UCE2, ceea ce face ca

    3>1>2 (tranzistorul tampon prezint cea mai maretensiune colector-emitor deoarece are colectorul legat la

    +E). Aa cum e de ateptat, inegalitatea factorilor vaconduce la apariia unei diferene semnificative ntre

    curentul de ieire al sursei i cel de referin. Astfel,

    admind c T2 i T3 au cureni de baz egali, conform cu

    cele din fig.1.6 i n absena rezistenei RE3 (al crei rol se

    va vedea puin mai trziu) se obine:

    Fig. 1.6. Oglind cu tranzistor tampon

    Ie = 1IB i Iref= B3

    232

    3

    BB2 I

    1

    2

    1

    I2I

    caz n care:

    2

    1

    32

    31

    232

    131

    ref

    e

    2I

    I

    Aadar raportul este net supraunitar (tensiunile UCE ale celor dou tranzistoare nu mai sunt att de apropiateca i la oglinda Wilson). Pentru a compensa acest lucru se introduce rezistena RE3 care mrete artificial

    curentul prin T3 i prin intermediul curentului su de baz, mrete i pe Iref. Astfel se obine:

    Iref= B3

    232

    3

    BB2 I

    1

    2n

    1

    I)2n(I

    i raportul devine:

    T1

    2IBRe

    RE

    Ie

    RE

    2IB

    Iref

    +E

    T3

    T2IBIB

    23+1

    IB =1 IB

    RE3

    (nIB)

  • 8/10/2019 Licenta EA 2014

    38/210

    35

    1n2I

    I

    232

    131

    ref

    e

    care poate fi fcut apropiat de 1 alegnd potrivit valoarea rezistenei R E3. Aceast rezisten are i rolul de a

    asigura o mai bun stabilitate termic circuitului.

    Rezistenele RE pot mri sensibil rezistena de ieire Re a sursei de curent (n colectorul lui T1). n unele

    amplificatoare integrate rezistenele RE permit legarea ntre emitoarele lui T1 i T2, din exteriorul integratului, a

    unui poteniometru care poate ajusta fin raportul Ie / Iref(echilibrarea amplificatorului).

    4. Ce este o sursa band-gap, care este forma generala a tensiunii sale de iesire si explicati pe bazaacestei formule principiul sau de functionare. (1.2, pag.32, 33, 34)

    4. Surs de tensiune de referin de tip band-gap

    Coeficientul de temperatur de 2mV/K al tensiunii UBE se poate compensa dac se nsumeaz cu

    aceasta o tensiune avnd un coeficient de temperatur de +2mV/K. Acest procedeu este utilizat n circuitul dinfig.1.19, unde A este un amplificator diferenial. Aici prin T2 se realizeaz o reacie negativ mai puternic dect

    reacia negativ introdus prin T1. Rezistena de ieire a acestei surse de tensiune este foarte mic datorit

    reaciei negative n configuraie cu nod la ieire.

    Deoarece cele dou intrri ale amplificatorului (care are o amplificare de tensiune 1000) au

    aproximativ acelai potenial UI rezult:

    URC1 = URC2

    deci:

    IC2 = n IC1

    Cderea de tensiune pe rezistena R1 este:

    IC1R1 = UBE2 UBE1 = UTln nlnUI

    IlnU

    I

    IlnU

    I

    IT

    1C

    2CT

    0C

    1CT

    0C

    2C

    Cu aceasta rezult:

    1

    T1C

    R

    nlnUI

    care este un curent dependent de temperatur prin intermediul lui UT.

    Cderea de tensiune pe rezistena R2 este:

  • 8/10/2019 Licenta EA 2014

    39/210

    36

    UR2 = (IC1 + IC2)R2 = )R

    nlnUn

    R

    nlnU(R

    1

    T

    1

    T2 = nlnU)1n(

    R

    RT

    1

    2 = NUT

    unde s-a notat (R2 / R1)(n+1)lnn = N (constant). Aceast tensiune (UR2) trebuie s aib un coeficient de

    temperatur de +2mV/K.

    Fig. 1.19. Surs de tensiune de referin de tip band-gap

    Cunoscnd c UT = kT/q (n care k este constanta lui Boltzmann iar q sarcina electronului), se scrie:

    q

    kN

    dT

    dUN

    dT

    dU T2R

    care este o constant independent de temperatur. Se obine n continuare:

    K

    mV2

    T

    NU

    qT

    kTN

    dT

    dU T2R

    Considernd o anumit situaie, de exemplu aceea cu T=300K i UT = 26 mV, rezult:

    231026

    300102N

    3

    3

    .

    +

    UBE2

    T2

    Ue

    UBE1

    T1

    R1

    RC1 RC2 =

    +E

    R2 UR2

    +E

    A

    Ui

    UiIC2IC1

    IB0

    IC1R1

  • 8/10/2019 Licenta EA 2014

    40/210

    37

    Acest numr este realizat suficient de precis prin rapoarte de rezistene. Astfel:

    Ue = UBE2 + UR2 = UBE2 + NUT = const. (T)

    adic tensiunea Ue este compensat termic (n realitate nu total).

    5. Amplificator inversor cu AO. Schema, expresia amplificarii si conditia de minimizare a erorilorstatice. (3.1)

    6. Amplificator neinversor cu AO. Schema, expresia amplificarii si conditia de minimizare a erorilorstatice. (3.1)

    5.6. Proprietatile amplificatorului operaional ideal

    n multe aplicaii A.O. se poate considera ideal, calculul circuitelor fiind atunci mult mai simplu.

    Apropierea funcionrii amplificatoarelor reale de a celor ideale se datorete performanelor atinse n

    fabricarea lor.

    Amplificatoarele operaionale ideale au urmtoarele proprieti:

    - amplificare de tensiune infinit,

    - rezisten de intrare diferenial infinit,

    - rezisten de ieire nul,

    - curent de polarizare (intrare) nul,

    -band de frecven foarte larg (astfel nct nu intervine n funcionarea circuitului),

    - decalaje iniiale, derive, zgomot nule,

    - factor de rejecie a semnalului comun infinit,

    - factor de rejecie a variaiei tensiunilor de alimentare infinit.

    Pe baza acestor proprieti se poate lucra cu AO folosind conceptele:

    - curentul de intrare al AO ideal este nul,

    - diferena de potenial dintre intrri este nul.

    Calculele circuitelor folosind AO ideal sunt valabile att timp ct erorile AO real nu intervin semnificativ

    n tensiunea de ieire. Deci acestea trebuie totui apreciate sau verificate i comparate cu semnalul util de la

    intrare.

  • 8/10/2019 Licenta EA 2014

    41/210

    38

    a) Amplificatorul inversor (fig.3.1).

    Amplificarea cu reacie ideal a acestui circuit este:

    1

    r

    11

    r1

    1

    eur

    R

    R

    RI

    RI

    U

    UA

    i poate fi fcut de orice valoare. Rezistena de intrare Rirvzut de sursa U1 este aproximativ egal cu R1 i este de

    valoare relativ redus (n 10 K) din cauza reaciei negative de tipparalel-paralel. Pentru a se lucra cu R1 de valoare mare trebuie

    folosit un amplificator cu R i foarte mare. Rezistena de ieire este

    neglijabil datorit reaciei negative cu configuraie paralel la

    ieire.

    b) Amplificator neinversor (fig.3.2).

    Amplificarea de tensiune cu reacie este:

    1

    r

    r1

    1e

    e

    2

    eur

    R

    R1

    RR

    RU

    U

    U

    UA

    i poate fi doar supraunitar pentru acest circuit. Rezistena de intrare vzut de sursa U2 este foarte mare,

    datorit reaciei negative de tipul paralel-serie. Totui ea este limitat la valoarea rezistenei de intrare pentru

    semnal comun care a fost ignorat fa de rezistena de intrare diferenial pn acum. La amplificatoarele

    uzuale rezistena de intrare pentru semnal comun are o valoare de ordinul n 10 M.

    Pentru realizarea unei amplificri de tensiune subunitare se poate utiliza un divizor de tensiune la

    intrarea + dar n acest caz rezistena de intrare coboar la o valoare obinuit (n 10K), (fig.3.3). Pentru acestcircuit se poate scrie tensiunea de ieire:

    1

    r

    32

    32

    1

    r'e

    R

    R1

    RR

    RU

    R

    R1UU

    Fig. 3.1. Amplificator inversor cu AO

    +

    R2=R1Rr

    Rir

    RS

    R1

    Rr

    Ue

    U1

    I1

    I1

    0V

    0V

  • 8/10/2019 Licenta EA 2014

    42/210

    39

    i acum amplificarea lui U2 poate fi fcut subunitar.

    Rezistena de intrare devine ns relativ redus: Rir R2 +R3Pentru dimensionarea divizorului se vor utiliza condiiile:

    - realizarea unei divizri impuse de relaia de mai sus;- realizarea unei erori minime prin egalitatea rezistenelor echivalente de la cele dou intrri.

    7. Amplificator logarithmic realizat cu un singur AO. (3.2, pag. 91, 92)

    7. Amplificatorul logaritmic.

    Carcteristica volt-amperic exponenial a diodelor semiconductoare i a tranzistoarelor poate fi

    utilizat pentru realizarea unor amplificatoare cu caracteristc de transfer ue = f(u1) logaritmic. Este vorba de

    relaia:

    T

    BE

    U

    u

    CoC eIi sauCo

    CTBE

    I

    ilnUu

    Folosirea tranzistoarelor n aceste amplificatoare este justificat de pstrarea caracterului exponenial al

    relaiei iC-uBEntr-o gam mai larg de variaie a curentului dect al relaiei iD-uD de la diode.

    +R2

    Rir

    RS

    R1

    Rr

    Ue

    U2

    U2

    U2

    +R2

    Rir

    RS

    R1

    Rr

    Ue

    U2

    U

    U

    R3

    Fig. 3.2. Amplificator neinversor cu AO Fig. 3.3. Amplificator neinversor cu divizor

  • 8/10/2019 Licenta EA 2014

    43/210

    40

    Schema de principiu a amplificatorului logaritmic este dat n fig.3.11, iar schema se completeaz n

    practic aa cum se arat n fig.3.12.

    Pentru amplificatorul din fig.3.11 avnd iC=u1/R1 se scrie:

    Co1

    1T

    Co

    CTBEe

    IR

    ulnU

    I

    ilnUuu

    i se constat c ue este proporional cu ln u1, adic se realizeaz o caracteristic de transfer logaritmic.

    Practic, la schema de principiu se mai adaug cteva componente:

    Cc pentru corecia caracteristicii de frecven (eliminarea oscilaiei de nalt frecven a

    amplificatorului cu reacie negativ);

    Rp pentru limitarea curentului de ieire al amplificatorului (n situaii incidentale) dar mai ales pentru

    reducerea amplificrii de tensiune a tranzistorului T (Rp realizeaz o reacie negativ local);

    D pentru protecia jonciunii emitoare a tranzistorului contra unei tensiuni inverse incidentale mari

    (n mod normal este blocat).

    Circuitul analizat mai sus prezint ns cteva dezavantaje importante:

    - dependena de temperatur a tensiunii de ieire prin mrimile UT i ICo;- domeniul de variaie restrns al tensiunii de ieire (cteva zecimi de V deoarece |ue|=|uBE|).

    8. Prezentati amplificatorul de masura (clasic) cu 3 amplificatoare operationale. (3.4, pag.101,102)8. Amplificatorul de masura (clasic) cu 3 amplificatoare operationale.

    Totui, schema clasic de amplificator de instrumentaie este mai complicat dar ofer n schimb mai multe

    faciliti (fig.3.23). Ea se poate realiza cu 3 AO distincte, din care primele dou trebuie s fie de precizie, sau se

    poate gsi sub form de circuit integrat monolitic la care se ataeaz din exterior R A. Simetria circuitului de

    intrare duce la o cretere a factorului CMRR global.

    +

    R1

    R1

    ue=-uBE

    u1>0

    iC

    iC

    0V

    0V

    uBET

    uCE=uBE

    +

    R1

    R1

    ue

    u1

    T

    RP

    (2k)

    D

    CC

    Fig. 3.11. Schema de principiu a unui Fig. 3.12. Schem practic pentru un amplificator

  • 8/10/2019 Licenta EA 2014

    44/210

    41

    Relaia tensiunii de ieire se stabilete innd cont c amplificatorul realizat cu A3 este diferenial, iaramplificatoarele cu A1 i A2 sunt neinversoare, fiecare utiliznd rezistena RA care impune amplificarea (i poate

    fi deci programabil):

    1

    23A

    A

    12

    1

    23A

    1

    21e2ee

    R

    RR2R

    R

    UU

    R

    RR2RI

    R

    RUUU

    12ur1

    2

    A

    312 UUA

    R

    R

    R

    R21UU

    Deci amplificatorul este diferenial i avnd la ambele

    intrri rezisten foarte mare este un amplificator de

    instrumentaie.

    Un astfel de amplificator monolitic prezint pini

    pentru intrrile i +, pini pentru conectarea unei

    rezistene RA (notai Amplificare), precum i un pin

    numit Reacie i un pin numit Referin (marcai n

    fig.3.23). Acetia din urm permit eliminarea efectelor

    nedorite ale firelor lungi spre sarcin (ambii pini se leag

    prin fire separate direct pe bornele sarcinii), iar pinul

    Referin mai permite introducerea unui circuit de ehilibrare (fig.3.24). Se cunosc soluii speciale pentrufolosirea amplificatorului de instrumentaie cu fire lungi la intrare i (sau) ieire [3]. n cazul de fa, circuitul de

    echilibrare, folosind un AO repetor, nu introduce rezisten n serie cu R2 la pinul Referin, deci nu produce

    erori n amplificarea total.

    9. Prezentati redresorul de precizie monoalternanta inversor. (3.5, pag.104, 105)

    9. Redresor de precizie monoalternanta inversor.

    +

    +

    R1

    R2

    U2

    Ue2

    Ue

    pini

    A1

    A3

    +

    A2+

    U1

    R1

    R2

    R3

    R3

    RA (ext)

    I

    I

    I

    Ue1

    Etaj de intrare

    Sarcin

    Etaj diferenialpin

    fir

    fire

    pin

    Fig. 3.23. Amplificator de msur clasic

    -E

    +

    +

    +E

    Echilibrare

    RepetorReferin

    ReacieR2

    R2

    A3

    A4

    Sarcin

    Fig. 3.24. Realizarea echilibrrii la amplificatorul de msur

  • 8/10/2019 Licenta EA 2014

    45/210

    42

    Exist, de asemenea, varianta de redresor de precizie monoalternan inversor (fig.3.28), care poate realiza i

    o amplificare.

    n semiperioada negativ tensiunea ueA>0 i D1 conduce, iar D2 este blocat. n acest caz se pot scrie

    ecuaiile:

    u1 = i1R1 + ui (1)

    u1 = -i1R2 + ui (2)

    ueA = -uiAu (3)

    ueA = ud1 + ue (4)

    Eliminnd i1, ueA i ui, rezult pentru semiperioada negativ a tensiunii u1:

    1

    uR

    R

    u

    A

    11

    A

    u

    R

    R

    uu

    1d1

    21

    uu

    uu

    1d

    1

    2

    1

    e cu:21

    1u

    RR

    R

    ,

    uuA

    1

    (u = factorul de reacie de tensiune). Deoarece uAu>>1 rezult cu aproximaie:

    1

    21e

    R

    Ruu

    adic forma tensiunii de la ieire repet forma tensiunii de la intrare. Prin urmare se asigur precizia redresrii i se poaterealiza amplificarea dorit.

    Dioda D1 are rolul de redresor dar tensiunea ud1 este mprit cu uAu>>1, i efectul acesteia, inclusiv

    efectul termic, este neglijabil. Cu alte cuvinte, dioda D1 prezint o comportare ideal ce se datorete cuprinderiiei n bucla de reacie.

    Pentru semiperioada pozitiv a tensiunii u1 , tensiunea ueA0 n semiperioada urmtoare

    ar fi lent, D1 nu se deschide la timp provocnd deformarea tensiunii ue deci imprecizie, ca n fig.3.26. Prezena

    diodei D2 asigur evitarea saturaiei ieirii amplificatorului (diod antisaturaie), meni-nnd pe ueA apropiat

    de zero (- 0,6 V). Astfel, dioda D2 conduce curentul ce vine de la intrare. Tensiunea ui foarte mic produce prin

    divizorul R2, RS o tensiune de ieire:

    S2

    SieRR

    Ruu

    care este neglijabil. Pe lng tensiunea ui redus, n semiperioada pozitiv a lui u1 conteaz la intrare i

    decalajul iniial de tensiune (nu se face echilibrarea).

  • 8/10/2019 Licenta EA 2014

    46/210

    43

    Forma tensiunii de ieire a redresorului monoalternan i caracteristica de transfer sunt date n

    fig.3.29a i 3.29b.

    Se pot redresa tensiuni mici de ordinul milivolilor. Amplificatoarele integrate cu etaj final n clas C (cuzon moart n caracterisitca de transfer) nu sunt ns potrivite pentru redresoare de precizie de semnale mici

    (exemplu 709, 324 etc.).

    Rezistena de intrare a redresorului de precizie inversor este modest.

    Dac se dorete obinerea unei

    tensiuni redresate negative se

    inverseaz sensul celor dou diode.

    Pentru creterea frecvenei

    tensiunii ce se redreseaz, cu menine-rea preciziei, s-au mai aplicat unele

    soluii de mbuntire a compensrii

    de frecven [3]. Astfel, tiind c n

    timpul scurt de comutare diodele D1 i

    D2 nu conduc, se poate crete factorul

    SR prin suspendarea coreciei. Cnd

    corecia e prin efect Miller, condensatorul de corecie nu se conecteaz direct la ieirea amplificatorului ci prin

    diodele D1, respectiv D2 (fig.3.30). Cnd o diod conduce corecia acioneaz normal.

    10. Precizati cateva tipuri de comparatoare, desenati-le caracteristica de transfer si explicati caredintre acestea elimina riscul bascularilor multiple atunci cand tensiunea de intrare este insotita dezgomote. (3.11)

    10. Comparatoare.

    Comparatoare simple (fr reacie)

    u1

    ue

    0

    0

    t

    t

    ue

    u10

    -R2/R1

    Fig. 3.29a. Formele de und la intrarea i ieirea Fig. 3.29b. Caracteristica de transfer a

    redresorului

    u1

    +RS

    ueD1D2R1

    R2

    R1R2

    Cc

    Cc

    pin de corecie

    Fig. 3.30. Redresor cu frecvena de lucru mrit

  • 8/10/2019 Licenta EA 2014

    47/210

    44

    Comparatoarele sunt circuite care indic, prin tensiunea de ieire, situaia relativ a dou tensiuni

    aplicate la intrri (fig.3.74). Este vorba aici de un comparator pentru tensiuni cu acelai semn. De obicei una din

    tensiuni este variabil iar cealalt este fix, reprezentnd cu aproximaie pragul comparatorului. Cnd

    tensiunea variabil este U1 comparatorul este inversor, iar cnd tensiunea variabil este U2 comparatorul

    este neinversor.Caracteristica de transfer a acestor comparatoare este prezentat n fig.3.75a (pentru inversor) i b

    (pentru neinversor).

    Pentru situaia U1 < U2 rezult la ieire Ue = Uemp nivelul logic

    superior (pozitiv), iar pentru U1 > U2 rezult Ue = Uemn nivelul logic inferior

    (negativ de obicei, dac se alimenteaz AO cu dou surse). Se folosete

    comparator inversor dac se dorete bascularea ieirii de la nivel superior

    spre inferior, atunci cnd tensiunea de intrare cresctoare depete

    tensiunea fix i comparator neinversor n caz contrar.

    Dac ns tensiunile U1 i U2 (sau una dintre ele) conin zgomote,cnd tensiunea variabil ajunge n dreptul zonei de indecizie apare fenomenul de vibraie (oscilaie) a

    tensiunii de la ieirea comparatorului (fig.3.76) care nseamn schimbarea de cteva ori, consecutiv, a deciziei

    logice deci comenzi false (uneori suprtoare) pentru circuitele i dispozitivele conectate la ieire. Acesta este

    dezavantajul major al comparatorului simplu din fig.3.73; tensiunile ce se compar trebuie s fie foarte

    curate pentru evitarea vibraiilor.

    Comparatoare cu reacie pozitiv (cu histerezis)

    Pentru eliminarea fenomenului de vibraie a tensiunii de ieire a comparatorului, cnd tensiunile U1 i

    U2 (sau una dintre ele) conin zgomote, se utilizeaz o reacie pozitiv (fig.3.79). Prin aceasta apare n

    caracteristica de transfer un histerezis (fig.3.80), care este mult mai lat dect zona de indecizie de la

    comparatorul fr reacie. Aceasta conduce la o eroare de comparare sensibil mai mare, dar n schimb decizia

    logic este ferm.

    +

    U1

    U2Ue

    Fig. 3.74. Comparator simplu cu AO

    Ue

    U1

    Ui

    0

    pant Au

    U2 (prag)

    Uemn

    Uemp

    a

    Ue

    U2

    Ui

    0 pant Au

    U1 (prag)

    Uemn

    Uemp

    bFig. 3.75. Caracteristicile de transfer pentru comparatorul simplu inversor (a) i neinversor (b)

    +

    U1

    U2Ue

    Rr>>R2

    R1R2

    R2

    Fig. 3.79. Comparator cu reacie pozitiv

  • 8/10/2019 Licenta EA 2014

    48/210

    45

    i n acest caz ntlnim comparator inversor i neinversor, dup intrarea la care este aplicat

    tensiunea variabil.

    a) Comparatorul inversor

    Acest comparator se folosete atunci cnd se dorete bascularea ieirii de la nivel superior spre inferior,dac tensiunea de intrare cresctoare depete tensiunea fix. Caracteristica de transfer a acestui comparatoreste prezentat n fig.3.80.

    Pentru explicarea funcionrii comparatorului se consider iniial c U1 < 0 i de valoare absolut mare

    (punctul A de pe caracteristica de transfer), iar U2 > 0. Atunci U2 >> U1 i la ieire se obine nivelul Uemp. Pe

    divizorul Rr R2 rezult la intrarea + o tensiune, notat cu'1U , care ndeplinete inegalitatea

    '1U > U2. Dac

    tensiunea U1 crete

    Ue

    U10U2

    Uemn

    Uemp

    0

    0 t

    t

    Ue

    U1

    t2t1

    U2

    t1

    t20,1mV

    U1med

    C

    Ue

    0

    Uemn

    A

    U1

    Uemp

    U1 U1

    D

    B

    UemnR2

    R2+Rr Uemp

    R2

    R2+Rr

    U2R2

    R2+Rr

    U2

    UH

    Fig. 3.80. Caracteristica de transfer a

    comparatorului inversor

  • 8/10/2019 Licenta EA 2014

    49/210

    46

    i atinge valoarea '1U (punctul B pe caracteristic) intervine bascularea comparatorului care are loc din cauza

    situaiei tensiunilor existente direct la intrrile + i . Datorit reaciei pozitive realizat prin Rr , bascularea se

    accelereaz pentru c diferena dintre tensiunile de la intrrile + i se mrete rapid prin scderea tensiuniiUencepnd din punctul B. Astfel, trecerea la nivelul Uemn are loc pentru o variaie foarte mic a tensiunii U1 i

    n caracteristica de transfer apare o ramur practic vertical.

    Creterea n continuare a tensiunii variabile U1 conduce la atingerea unui punct C pe caracterisitic.

    Acum, pe divizorul Rr R2 apare la intrarea + o tensi-une notat cu''

    1U i de valoare''

    1U < U2 (fig.3.80). Dac n

    continuare U1 scade, bascularea spre nivelul logic superior ncepe la atingerea valorii''

    1U - punctul D - i are loc

    la fel de brusc ca i prima basculare, datorit accenturii diferenei tensiunilor de la intrri prin reacie pozitiv.

    Nivelurile '1U i''

    1U , la care apar basculrile se numesc pragurile comparatorului. Ele se pot calcula innd

    cont de cele dou situaii ale tensiunilor pe divizorul Rr R2 (fig.3.81) la momentul nceperii basculrii.

    Eroarea de comparare n acest caz este determinat n primul rnd de distanele dintre praguri i

    tensiunea fix U2 i se consider cea mai mare dintre cele dou distane.

    (dac acestea nu sunt egale ntre ele). Limea zonei de histerezis este stabilit de utilizator, ntruct ea

    trebuie s depeasc amplitudinea vrf-la-vrf a zgomotelor nsumate ale tensiunilor ce compar, U1 i U2 ,

    (fig.3.82). n acest fel nu mai apar vibraiile ieirii comparatorului. n concluzie, se adopt:

    .v.v.zgH U2,1U

    pentru a avea sigurana c la traversarea zonei de histerezis nici un vrf negativ al zgomotelor nsumate nu va

    duce la coborrea tensiunii U1 dup momentul t1 pn sub pragul''

    1U . Bascularea va fi ferm i are loc n

    momentul t1 al atingerii pentru prima dat a pragului'1U dac UH este bine adoptat. Desigur, n prealabil se

    va face tot posibilul ca zgomotele suprapuse peste cele dou tensiuni s fie ct mai reduse, spre a se putea

    lucra cu UH mic.

  • 8/10/2019 Licenta EA 2014

    50/210

    47

    Fig. 3.82. Comportarea comparatorului cu histerezis de tip inversor

    Ue

    U10 U2

    Uemn

    Uemp

    0

    0 t

    t

    Ue

    U1

    t1

    U2

    t1

    UH

    U1med

    U1U1

  • 8/10/2019 Licenta EA 2014

    51/210

    48

    CIRCUITE INTEGRATE DIGITALE

    Anul II

  • 8/10/2019 Licenta EA 2014

    52/210

    49

    1. Prezentai funcionarea unui decodificator pe post de demultiplexor

    Utilizarea DCD 74HC(T)138 pe post de DMUX se poate face n urmtoarele moduri:

    - dac intrarea de date (Di) este o intrare de validare activ pe 0 (G2A sau G2B) i codul de selecie este

    A = 1, B=1, i C = 0, datele prezente la intrarea de date se vor regsi la ieirea Y3. Pentru Di= 0, circuitul

    este validat corect i ieirea selectat este Y3 = 0(figura 1). Pentru Di = 1, circuitul nu este validat i ieirea

    selectat este Y3 = 1(figura 2). Astfel datele prezente la intrarea de date se regsesc nemodificate la ieirea

    selectat.

    - dac intrarea de date (Di) este o intrare de validare activ pe 1 (G1) i codul de selecie este A = 0,

    B=1, i C = 1, datele prezente la intrarea de date se vor regsi la ieirea Y6. Pentru Di = 1, circuitul este

    validat corect i ieirea selectat este Y3 = 0 (figura 3). Pentru Di = 0, circuitul nu este validat i ieirea

    selectat este Y3 = 1 (figura 4). Astfel datele prezente la intrarea de date se regsesc negate la ieirea

    selectat.

    Figura 1; Figura 2; Figura 3; Figura 4.

    Concluzie: Nu se fabric DMUX. Pe post de DMUX se poate folosi orice DCD care are o intrare de

    validare. Dac aceasta este activ pe 0 se obine un DMUX neinversor iar dac este activ pe 1 se obine un

    DMUX inversor.

    Y0Y1Y2Y3

    G1

    AB

    74HC138

    C

    G2AG2B

    Y4Y5Y6Y7

    1

    10

    1

    1

    11

    1

    1

    11

    110

    Y0Y1Y2Y3

    G1

    AB

    74HC138

    C

    G2AG2B

    Y4Y5Y6Y7

    1

    00

    1

    1

    11

    1

    1

    10

    011

    Y0Y1Y2Y3

    G1

    AB

    74HC138

    C

    G2AG2B

    Y4Y5Y6Y7

    0

    00

    1

    1

    11

    1

    1

    11

    011

    Y0Y1Y2Y3

    G1

    AB

    74HC138

    C

    G2AG2B

    Y4Y5Y6Y7

    1

    00

    1

    1

    01

    1

    1

    11

    110

    Di Di

    DiDi

  • 8/10/2019 Licenta EA 2014

    53/210

    50

    2. Desenai reprezentarea simbolic a unui bistabil de tip D care comut pe frontul cresctor al impulsului de

    tact, tabelului lui de funcionare i formele de und aferente

    Unul dintre cele mai simple bistabile care se produce sub form integrat este bistabilul de tip D, activ

    pe frontul cresctor al impulsului de tact aplicat la intrarea CK (figura 5).

    Figura 5. Bistabilul D care comut pe frontul cresctor al tactului.

    Informaia aflat la intrarea D este transferat la ieirea Q pe frontul cresctor al tactului (conform

    tabelului 1). Dac semnalul CK este pe palier (durata ct are valoarea 1 sau 0), semnalul aplicat la intrarea

    D nu influeneaz ieirea.

    Tabelul 1

    D Q

    0 0

    1 1

    Pe lng intrarea D, circuitul poate avea i dou intrri asincrone prioritare /S i /R. Funcionarea se

    bazeaz pe tabelul 2 cu observaia c dac ambele intrri prioritare sunt inactive circuitul funcioneaz sincron

    conform tabelului 1.

    Tabelul 2

    /S /R Q /Q

    0 1 1 0

    1 0 0 1

    1 1Funcionare sincron conform

    tabelului 1

    0 0 1 1 Stare interzis

    CK

    tD

    t

    Q

    t/Q

    t

  • 8/10/2019 Licenta EA 2014

    54/210

    51

    3. Desenai reprezentarea simbolic a unui bistabil de tip T care comut pe frontul descresctor al impulsului

    de tact, tabelului lui de funcionare i formele de und aferente

    Bistabilul T se obine numai din CBB JK-MS prin conectarea mpreun a intrrilor J i K (CBB JK-MS esteforat s funcioneze doar n situaiile J = K = 0 i J = K = 1).

    Tabelul de funcionare:

    Obs:

    Dac T este permanent 1, nn QQ 1 ,

    bistabilul basculeaz la fiecare impuls de tact.

    4. Descriei modalitile de realizare a conversiei serie-paralel,

    respectiv paralel-serie a datelor

    Conversia serie-paralel necesit utilizarea unui registru SIPO; ea se face n n tacte corespunztoare

    celor n bii ai cuvntului binar.

    Funcionare:

    Se terge coninutul registrului punnd intrarea /CLR la 0 (cu toate c principial nu este necesar

    iniializarea coninutului registrului, deoarece el se va suprascrie oricum dup n impulsuri de tact).

    Considernd un registru SIPO de 8 bii, secvena de nscriere a informaiei este D7, D6 ,..., D0 fiind

    necesare 8 impulsuri de tact pentru ca bitul D7 (cel mai semnificativ) s ajung la ieire pe poziia corect Q7.

    n acest moment cuvntul este nscris n totalitate n registru i poate fi citit paralel.

    Ritmul n care sunt adui biii la intrarea serial SIN trebuie s fie corelat cu secvena de aplicare a

    impulsurilor de tact. Registrul comut pe frontul cresctor al tactului (chiar dac bistabilele comut pe frontul

    descresctor). Secvena care se convertete este 10101101.

    CK

    t

    SIN

    t

    Di7 Di6 Di5 Di4 Di3 Di2 Di1 Di0

  • 8/10/2019 Licenta EA 2014

    55/210

    52

    Obs.: Fiecare ieire Qipoate fi folosit ca ieire serial (circuitul se poate folosi ca SISO1, ... SISO8).

    Conversia paralel-serie necesit utilizarea unui registru PISO. Conversia se face n n tacte

    corespunztoare celor n bii ai cuvntului binar.

    Pentru nscrierea paralel a datelor Di7, ..., Di0 se pune intrarea SH//LD = 0 i se aplic un impuls detact (nscrierea propriu-zis se face pe frontul cresctor al semnalului de tact). Pentru citirea serial a datelor (a

    cuvntului de n bii) se pune intrarea SH//LD = 1 i se aplic n-1 impulsuri de tact.

    ntreaga operaie de conversie necesit n perioade de tact, prima fiind destinat pentru ncrcarea

    paralel, iar restul pentru citirea serial.

    5. Descriei, pe scurt, principalele de realizare a memoriilor temporare FIFO i LIFO

    Memoriile temporare sunt organizate pe n cuvinte binare de cte b bii compuse din b registre de

    deplasare seriale SISO de cte n bii fiecare.

    Memoria FIFO (First In First Out) se realizeaz cu ajutorul unor registre SISO care permit deplasarea

    ntr-un singur sens (spre dreapta).

    nscrierea cuvintelor binare de b bii n memorie se face n paralel pe cele b intrri seriale prin aplicarea

    a cte unui impuls de tact i deplasarea acestora spre dreapta.

    Memorie este plin atunci cnd s-au nscris toate cele n cuvinte binare. Dup umplerea complet a

    memoriei, primul cuvnt citit(paralel pe cele b ieiri seriale) este primul cuvnt nscris n memorie.

    n procesul de citire, informaia se deplaseaz n continuare spre dreapta cu fiecare impuls de tact

    aplicat. Prin citire, informaia se pierde!

    Acest tip de memorie poate fi utilizat la gestionarea adreselor altor memorii pe durata ntreruperilor

    unui sistem cu microprocesor.

  • 8/10/2019 Licenta EA 2014

    56/210

    53

    Memoria temporar LIFO (Last In First Out) necesit registre SISO care pot deplasa informaia n

    ambele sensuri (o intrare LR / - Right//Left - specific sensul deplasrii).

    nscrierea cuvintelor se face ca la memoria FIFO, prin deplasarea spre dreapta a datelor ( 1/ LR ) iar

    citirea se face prin deplasarea acestora spre stnga ( 0/ LR ).

    Astfel ultimul cuvnt nscris va fi primul citit.

    Memoria LIFO se utilizeaz ca memorie stiv n sistemele cu microprocesoare.

    6. Desenai schema unui numrtor asincron binar, pe 4 bii, explicai funcionarea sa,

    i trasai formele de und aferente

    Un numrtor asincron binar, pe 4 bii, este format din 4 bistabile de tip T (provenite din JK -MS) cu T

    permanent pe 1. Impulsurile de tact se aplic doar primului bistabil. Urmtoarele bistabile au ca semnal detact ieirea Q a bistabilului anterior (MR Master Reset este o denumire sinonim cu R - Reset sau CLR).

    fCLK

    fCLK/2

    fCLK/4

    fCLK/8

    fCLK/16

  • 8/10/2019 Licenta EA 2014

    57/210

    54

    Obs:

    1). Numrtorul numr n sens cresctor (direct) adic cu fiecare impuls de CK aplicat, valoarea

    numrtorului crete cu o unitate.

    2). Numrtorul este modulo 16 (are 4 bistabile), al 16-lea impuls de tact ncheie ciclul, el aducndnumrtorul pe zero. Cel de-al 17-lea tact global este primul impuls de tact din cel de-al doilea ciclu.

    3). La un moment dat, codul binar obinut citind ieirile corespunde cu numrul de impulsuri de tact

    aplicate n ciclul respectiv (citind ieirile dup 11 tacte rezult Q3Q2Q1Q0 = 1011 care corespunde cu numrul 11

    codat binar). Aceasta este practic funcia de numrare.

    4). Bistabilele funcioneaz ca divizoare de frecven cu 2. Ieirea Q0 divizeaz cu 2 frecvena tactului,

    Q1 divizeaz cu 2 frecvena semnalului Q0 i cu 4 frecvena tactului, etc.

    5). Pentru extinderea capacitii de numrare se pot conecta mai multe numrtoare n cascad prin

    conectarea ieirii Q3 la intrarea de tact a urmtorului numrtor.

    7. Desenai schema unui numrtor sincron binar, pe 4 bii, explicai funcionarea sa, i trasai formele de

    und aferente

    Numrtoare sincrone sunt numrtoare la care impulsul de tact se aplic simultan tuturor bistabilelor

    (de tip T) permind, astfel funcionarea la frecvene de tact mult mai mari (tipic 35MHz).

    n cadrul unui ciclu de numrare, la trecerea dintr-o stare n alta, unele bistabile trebuie s comute,

    altele nu. nseamn c numrtoarele trebuie realizate cu bistabile de tip T care au intrarea T accesibil pentru

    a permite ca, naintea aplicrii urmtorului impuls de tact, intrarea T a bistabilului ce trebuie s comute s fie

    conectat la 1 iar intrarea T a bistabilului ce nu trebuie s comute s fie conectat la 0.

    Apare, astfel, necesitatea utilizrii unor circuite logice pentru generarea valorilor T ce corespund celor n

    bistabile folosite pentru ca funcionarea numrtorului s decurg n conformitate cu tabelul de funcionare

    dorit.

    Din tabel se deduc urmtoarele:

    bistabilul 0Q trebuie s basculeze la fiecare impuls de tact, deci

    10 T ;

    bistabilul 1Q basculeaz numai dac naintea aplicrii tactului

    10 Q deci 01 QT ;

    bistabilul 2Q basculeaz numai dac naintea aplicrii tactului 0Q

    i 1Q sunt pe 1 adic: 11102 TQQQT

    .

  • 8/10/2019 Licenta EA 2014

    58/210

    55

    bistabilul 3Q basculeaz numai dac naintea aplicrii impulsului de tact 0Q , 1Q i 2Q sunt pe 1

    deci 222103 TQQQQT

    .

    n general se poate scrie:222101 ... nnnn QTQQQT

    .

    n funcie de modul de scriere al valorilor T se disting dou metode de generare a acestora:

    serial dac valoarea curent a lui T se obine din cea anterioar:

    112 QTT i 223 QTT .

    Schema numrtorului sincron obinut prin metoda serial:

    Durata minim a impulsului de tact este:

    ttntT PSIQPCLKCLK 2min .

    Dezavantaj: - tp mai mare dect n cazul generrii paralele a valorilor T.

    Avantaj: - se utilizeaz numai pori I cu dou intrri.

    paralel dac valorile lui Tse obin direct din valorile lui Q:

    102 QQT i 2103 QQQT

    Schema numrtorului sincron obinut prin metoda paralel:

  • 8/10/2019 Licenta EA 2014

    59/210

    56

    n cazul generriiparalele a valorilor Tdurata minim a impulsurilor de tact este:

    tttT PSIQPCLKCLK min .

    Se observ c tp este mai mic ceea ce conduce la o frecvena de tact mai ridicat. Din acest motiv

    aceasta este varianta preferat la realizarea numrtoarelor sincrone integrate.

    Semnalul Carry (semnalul de transport) se genereaz din semnalele Q0, Q1, Q2, i Q3.

    3210 QQQQCy i se aplic intrrii T a numrtorului (bistabilului) urmtor n cazul extinderii capacitiide numrare (cascadarea numrtoarelor).

    8. Prezentai, sumar, principalele metode de obinere

    a divizoarelor de frecven programabile

    Divizoarele de frecven programabile sunt divizoare de frecven la care raportul de divizare se poate

    modifica de la un ciclu de divizare la urmtorul.

    Varianta 1 cu numrare n sens invers i ncrcare paralel.

    Este cea mai utilizat metod de obinere a unui divizor programabil. Se bazeaz pe utilizarea unui

    numrtor reversibil cu posibilitatea de a fi ncrcat paralel. Numrul cu care se realizeaz divizarea (k) se

    aduce la intrrile paralel i se ncarc n numrtor prin activarea liniei /LD. Numrtorul este decrementat cu

    frecvenafCLK aplicat la intrarea Count Down (Dn) pn cnd el ajunge n starea 0000. n acel moment ieirea

    Borrow (/Bo) trece pe 0, activeaz intrarea/LD, i iniiaz o nou ncrcare a numrtorului cu numrul k.

    Deoarece bistabilele din componena numrtorului nu au acelai timp de ncrcare i, astfel apare

    riscul unei ncrcri incomplete, este necesar intercalarea unui bistabil SR de memorare a impulsului de

    ncrcare (la fel ca la numrtoarele modulo p).

    Astfel, la ieirea /Q a acestuia se obine semnalulfCLK/k.

    DnUp

    LDCLR

    74HCT193

    Q0

    Cy

    Q1BQ2

    D Q3

    Bo

    A

    C

    X1

    Q

    X2

    1

    k

    fCLK

    fCLK/k

  • 8/10/2019 Licenta EA 2014

    60/210

    57

    Varianta 2 cu numrare n sens direct i comparator.

    Metoda utilizeaz un numrtor asincron (4040) i dou comparatoare pe 4 bii (74LS85) care specific

    raportul de divizare k. Numrtorul numr n sens direct, de la 0 pn la valoarea k prestabilit de

    comutatoarele [KPD1 i KPD2]. n acel moment comparatoarele sesizeaz egalitatea i activeaz semnalul de

    tergere /MR. Schema prezentat este pe 8 bii.

    Pentru obinerea unui divizor de frecven pe 12 bii sunt necesare un numrtor i un comparator pe

    12 bii.

    Schema prezentat este una care funcioneaz foarte bine n regim de simulare digital, dar nu n

    realitate deoarece folosete circuite CMOS i TTL LS n acelai montaj. Pentru a rezolva acest neajuns, cel mai

    bine este s se foloseasc variantele HC sau HCT ale circuitelor prezentate: 74HCT4040 i 74HCT85, caz n careschema nu va mai prezenta nici un neajuns.

    Varianta 3 combinat, cu posibilitatea numrrii n ambele sensuri.

    Este cea mai versatil metod. Se bazeaz pe folosirea numrtoarelor 4029 la care intrarea de

    ncrcare este comandat de o poart SAU-NU cu un numr de intrri egal cu numrul de circuite 4029

    utilizate.

    Circuitul ofer:

    numrare n sens cresctor, de la numrul prestabilit k la 255 (dac 1/ DU );

    numrare n sens descresctor, de la p la 0 (dac 0/ DU );

    numrare binar (dac 1/ DB );

    numrare zecimal (dac 0/ DB ).

  • 8/10/2019 Licenta EA 2014

    61/210

    58

    9. Enumerai principalele avantaje i dezavantaje ale memoriilor SRAM

    n comparaie cu memoriile DRAM

    Memoriile RAM se clasific n:

    RAM statice (SRAM Static Random Access Memory) la care celula elementar de memorare este

    un latch D realizat n tehnologie bipolar sau unipolar;

    RAM dinamice (DRAM Dynamic Random Access Memory) - celula elementar este o capacitate;sunt realizate numai n tehnologie unipolar NMOS sau CMOS.

    Memoria SRAM pstreaz datele pentru o perioad de timp nelimitat, pn n momentul n care ea

    este rescris. n schimb, memoria DRAM necesit rescrierea permanent, la cteva fraciuni de secund, altfel

    informaiile fiind pierdute.

    Avantajele memoriei SRAM: utilitatea crescut datorit modului de funcionare i viteza foarte mare(raportul de timp de acces SRAM/DRAM = 8-16).

    Dezavantajele memoriei SRAM: densitatea de integrare mai redus i preul mult mai mare dect al

    memoriei DRAM (de obicei raportul de capacitate DRAM/SRAM = 4-8 iar raportul de cost SRAM/DRAM = 8-16).

    Aplicaiile de baz ale memoriilor RAM se regsesc la PC-urile. Memoria SRAM este folosit cel mai

    adesea ca memorie intermediar/cache, pe cnd DRAM-ul este utilizat ca memorie principal a oricrui sistem.

    10. Explicai, pe scurt, funcionarea unei memorii DRAM

  • 8/10/2019 Licenta EA 2014

    62/210

    59

    (citire, scriere, remprosptare)

    Citirea informaiei memorate ntr-o memorie DRAM

    La liniile de adres se aduce adresa de linie A 0A7. Dup ce aceasta s-a stabilizat se activeaz linia /RAS

    pentru ncrcarea adresei de linie n registrul din circuitul de comand. n continuare adresa se decodific, seselecteaz linia i coninutul tuturor celulelor de memorare aferente liniei se scrie n registrul de linii.

    Apoi se aduce la intrare adresa de coloane A8A15. Dup ce aceasta s-a stabilizat se activeaz semnalul

    /CAS. Pe frontul descresctor al /CAS se investigheaz linia /WE. Aceasta trebuie s fie pe 1 deoarece se

    execut o operaie de citire. Tot pe frontul descresctor al semnalului /CAS se memoreaz adresa coloanei

    A8A15 n registrul corespunztor din circuitul de comand. Cu ajutorul lor i al MUX-ului, se selecteaz una

    dintre cele 256 de coloane ale liniei memorate n registrul de linii, i coninutul celulei selectate se transmite,

    prin buffer (aflat n stare normal), spre ieire Dout.

    n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de

    memorare) apoi se dezactiveaz i /CAS-ul i linia Dout trece pe Z.

    Citirea informaiei Scrierea informaiei

    Scrierea informaiei n memorie

    Furnizarea adresei locaiei de memorare n care urmeaz s se scrie informaia se face la fel ca la

    operaia de citire.

    Deosebirile apar pe frontul descresctor al /CAS cnd n urma investigrii se gsete linia /WE pe 0.

    Acest fapt nseamn c urmeaz o operaie de scriere i, tot n acel moment datele care urmeaz a fi scrise

    trebuie s fie prezente pe linia Din. n continuare se memoreaz adresa coloanei A8A15 n registrul

    corespunztor din circuitul de comand. Cu ajutorul lor i al DMUX-ului, se selecteaz una dintre cele 256 de

    coloane ale liniei memorate n registrul de linii, i informaia de pe Din se memoreaz n aceast celul.

    n continuare se dezactiveaz /RAS-ul (coninutul registrului de linii se renscrie n matricea de

    memorare) apoi se dezactiveaz i /CAS-ul.

    7

  • 8/10/2019 Licenta EA 2014

    63/210

    60

    Remprosptarea informaiei memorate

    Se folosete un numrtor pe 8 bii, cu funcionare continu care genereaz adresele celor 256 de linii.

    Pe frontal descresctor al semnalului /RAS se selecteaz o linia ce corespunde adresei. Coninutul fiecrei

    celule ale acestei linii se nscrie n registru de linii. Pe frontul cresctor al semnalului /RAS se renscrie

    informaia din registru de linii, regenerat n celulele corespunztoare.

    n continuare se trece la urmtoarea adres i se remprospteaz informaiile din celulele liniei

    urmtoare.

  • 8/10/2019 Licenta EA 2014

    64/210

    61

    Sisteme de prelucrare numeric cu procesoare

    Anul II

  • 8/10/2019 Licenta EA 2014

    65/210

    1.Structura generala unui sistem de prelucrare numericcu procesor (SPN)

    [1], pag. 11

    n acest capitol sunt prezentate principii generale privind structura i funcionareaunui sistem de prelucrare numericcu procesor (SPN).

    Structura generala unui SPN este prezentatn figura 1.1. Unitatea centraldeprelucrare (UCP), este cea mai importantcomponenta unui astfel de sistem. Principalafuncie a UCP este de a executa un program reprezentat printr-o secvende instruciuni.Programul este ncrcat n prealabil n memorie, mai concret n memoria program.Execuia programului implicexistena unor date care urmeazsfie prelucrate. Acestease gsesc fie n memoria de date, fie sunt preluate de la periferice. Execuia programuluise concretizeazprin generarea unor date care pot fi stocate n memoria de date sau pot

    comanda perifericele. Perifericele asigur schimbul de informaii cu exteriorul. n ceamai simplformperifericele sunt reprezentate de porturile de intrare-ieire (intrri-ieirinumerice). Alte exemple de periferice sunt: convertoarele analog-numerice (intrrianalogice), convertoarele numeric-analogice (ieiri analogice), interfeele (porturile)seriale, temporizatoarele.

    Unprocesor(microprocesor), care este specific calculatoarelor personale, coninedoar UCP, relativ la figura 1.1. Acesta are o mare putere de calcul deoarece trebuie sexecute mai multe aplicaii n acelai timp. De aceea, memoria i perifericele suntexterne, fiind poziionate n interiorul carcasei calculatorului. Un microcontroler, la fel caunprocesor de semnal, conine toate cele 3 elemente componente din figura 1.1 integraten aceeai capsul. Aceste dou dispozitive sunt folosite pentru aplicaii dedicate.

    Diferena ntre cele doueste cprocesorul de semnal este optimizat din punct de vedereal instruciunilor pentru a face prelucrri de semnal (filtrri numerice sau transformriFourier rapide) n timp ce un microcontroler are integrate o gammai largde periferice,n special intrri-ieiri numerice.

    Fig. 1.1 Structura generala unui SPN.

    UCP MEMORIE PERIFERICE

  • 8/10/2019 Licenta EA 2014

    66/210

    2. S se precizeze funciile registrelor PC (Program Counter) i, respectiv, SR (StatusRegister) pentru un procesor.

    [1], pag. 13, 15, 34-35.

    Registrul PC (Program Counter) indic adresa din memorie a instruciunii careurmeazsfie executat(adresa primului octet al instruciunii). Rezultcdupfiecareinstruciune registrul PC i mrete coninutul cu numrul de octei ai codului mainaiinstruciunii respective. Acest lucru este valabil cnd execuia programului este liniar,adicnu existramificaii n program. O ramificaie nseamncurmtoarea instruciuneexecutatnu este cea de la adresa care urmeazdupultimul octet al instruciunii curente,ci una situat la o adresmai mare sau mai mic. Exist trei posibiliti de ramificaii:instrucinui de salt, apeluri de subrutine sau rspunsuri la cereri de ntrerupere. n acestesituaii, registrul PC va fi ncrcat cu adresa instruciunii unde se va face saltul. Aceast

    adreseste cu un numr de uniti mai mare sau mai micdect coninutul registrului PCnainte de salt.

    Registrul SR (Status Register) conine la majoritatea dispozitivelor biii indicatoricare sunt modificai n urma execuiei unei instruciuni aritmetice sau logice: C (Carry),V (Overflow), N (Negative), Z (Zero).

    Bitul indicator de transport C(Carry bit). Acest bit este poziionat la nivel logic 1 dacrezultatul unei operaii aritmetice a produs un transport i este poziionat la nivel logic 0dacnu a avut loc un transport.

    Bitul indicator de depire V(Overflow bit). Acest bit se poziioneazla nivel logic 1dac rezultatul unei operaii aritmetice depete domeniul de valori corespunztorreprezentrii n cod complementul lui doi.

    Bitul indicator de semn N(Negative bit). Acest bit se poziioneazla nivel logic 1 dacrezultatul unei operaii este un numr negativ i la nivel logic 0 daca rezultatul operaieieste un numr pozitiv.

    Bitul indicator de zero Z(Zero bit). Acest bit se poziioneazla nivel logic 1 n urmaexecuiei unei instruciuni al crei rezultat este zero i este poziionat la nivel logic 0 dacrezultatul este diferit de zero.

    De asemenea, registrul SR conine i bitul care permite validarea ntreruperilormascabile, notat de obicei GIE (GeneralInterrupt Enable). n afar de aceti bii,

    registrul SR mai poate conine i ali bii.

  • 8/10/2019 Licenta EA 2014

    67/210

    3. S se precizeze funcia registrului SP (Stack Pointer) i a memoriei stiv pentru unprocesor.

    [1], pag. 14, 15.

    Funcia registrului SP este n strns legtur cu registrul PC. Apelul uneisubrutine sau rspunsul la o cerere de ntrerupere nseamnun salt la o adresunde esteplasatsubrutina (subrutina de ntrerupere).

    Astfel, n figura 1.3 instruciunea CALL S_1, aflat la adresa ADR1 apeleazsubrutina S_1, aflat la adresa AS_1. La ncheierea subrutinei (instruciunea RET)programul trebuie s se rentoarc la instruciunea care urmeaz dup cea care a fcutapelul, adic instruciunea Instr. 1, aflat la adresa ADR1+n (n reprezint numrul deoctei ai instruciunii Instr.1). Pentru a fi posibil acest lucru, registrul PC trebuie ncrcat

    cu adresa ADR1+n. Aceast adres a fost disponibil n registrul PC dup extragereacodului main al instruciunii CALL S_1, nainte de decodificarea i execuia acesteiinstruciuni. De aceea, execuia instruciunii CALL S_1 nseamn mai nti salvarearegistrului PC i abia apoi ncrcarea lui cu adresa de salt (AS_1, n acest caz). Zona dememorie unde se realizeazsalvarea se numetestiv.De obicei salvarea n stiv se face la adrese descresctoare. n acest sens, registrul SP(Stack Pointer, indicator al vrfului stivei) este decrementat cu 1 pentru fiecare octetsalvat n stiv. Coninutul acestui registru indicadresa ultimului octet salvat.

    Se presupune cnainte de execuia instruciunii CALL S_1 coninutul registruluiSP era 4000h. Deoarece fiecare adres salvat n stiv conine 2 octei , nseamn cregistrul SP a fost decrementat de 4 ori, adic conine valoarea 3FFCh. La execuia

    instruciunii RET din subrutina S_2, registrul PC se ncarc cu coninutul stivei de laadresele SP i SP+1 (adic 3FFCh i 3FFDh), iar registrul SP se incrementeaz cu 2uniti. La execuia instruciunii RET din subrutina S_1 registrul PC se ncarc deasemenea cu coninutul stivei de la adresele SP i SP+1 (3FFEhi 3FFFhn acest caz),dup care registrul SP se incrementeaz din nou cu 2 uniti. Rezult c numereleexistente n stiv se citesc n ordinea invers celei n care au fost salvate, de undedenumirea de stivsau memorie LIFO (Last In First Out).

    PROGRAM APELANTADRESE INSTRUCIUNI

    ..ADR1 CALL S_1ADR1+n Instr. 1

    SUBRUTINA S_1ADRESE INSTRUCIUNI

    AS_1 .

    ADR2 CALL S_2ADR2+n Instr.2

    ..

    RET

    SUBRUTINA S_2ADRESE INSTRUCIUNI

    AS_2

    RET

    Fig. 1.3 Apelul unei subrutine.

  • 8/10/2019 Licenta EA 2014

    68/210

    4. Funcionarea unui microcontroler la acceptarea unei cereri de nrerupere

    [1], pag. 27.

    n principiu, funcionarea unui microntroler la acceptarea unei cereri dentrerupere se face conform figurii 1.13. Instruciunea n curs de execuie n momentulprimirii cererii de ntrerupere se afln PROGRAMUL PRINCIPAL la adresaADR1. Eaeste executatcomplet, dupcare se face automat saltul pentru execuia SUBRUTINEIDE NTRERUPERE. Dup ncheierea subrutinei de ntrerupere, execuia programuluicontinude la adresaADR1+n (nreprezintnumrul de octei ai instruciunii de la adresaADR1). Pentru a fi posibil acest lucru, adresaADR1+n, care este coninutn registrul PCdup extragerea instruciunii de la adresa ADR1, este automat salvat n stiv. Apoi,registrul PC este ncrcat (tot automat!) cu adresa subrutinei de ntrerupere (numit ivector de ntrerupere, interrupt vector) corespunztoare sursei i.Efect: ncepe execuiasubrutinei de ntrerupere. De remarcat c naintea execuiei subrutinei de ntrerupere, oparte dintre registrele microcontrolerului, printre care registrul SR, sunt salvate n stiv.Execuia subrutinei de ntrerupere se ncheie cu o instruciune de tip RETI (return frominterrupt) care implicrefacerea registrelor salvate n stiv, inclusiv a registrului ProgramCounter, avnd ca efect continuarea execuiei de la adresaADR1+n.

    PROGRAM PRINCIPAL

    ADRESE INSTRUCIUNI

    ADR1 .........

    ADR1+n .........

    SUBRUTINDE NTRERUPERE

    ADRESEINSTRUCIUNI

    Adr. subr. sursi .................

    RETI

    Fig. 1.13. Funcionarea unui microntroler la acceptarea unei cereri de ntrerupere.

    5. S se prezinte principial funciile de ieire i de intrare ale unui pin al unuimicrocontroler (Digital I/O).

    [1] pag. 16,17.

    n figura 1.6 se prezintprincipial funciile de ieire i de intrare ale unui pin.

    Astfel, pentru un pin avnd funcia de ieire, informaia binar(un bit cu valoarea0 sau 1 logic) este transmis din microcontroler la pin, regsindu-se sub forma uneitensiuni (0 logic-0V, 1 logic-tensiunea de alimentare pozitiv+Vcc). Tensiunea respectivpoate fi msuratcu un voltmetru.

    ntrerup. sursi

  • 8/10/2019 Licenta EA 2014

    69/210

    Pentru un pin avnd funcia de intrare, informaia binaraplicat la pin sub forma uneitensiuni de la o surs (0 logic-0V sau mas, 1 logic-tensiunea de alimentare pozitiv+Vcc) este transmisn microcontroler, regsindu-se n valoarea unui bit (0 sau 1 logic).

    Rezumnd, cele douoperaii pot fi prezentate sintetic astfel:

    Ieire: bit (scris prin program)tensiune la pin (msuratcu un voltmetru).

    Intrare: tensiune la pin (aplicatde la o surs)bit (citit prin program).

    Fig. 1.6 Funciile de ieire i, respectiv, de intrare ale unui pin.

    6. Care este rolul magistralei de adrese (MA) ntr-un sistem de prelucrare numeric cuprocesor? Dac MA are 16 linii, care este dimensiunea spaiului memorie adresat?Determinai intervalul de adresare corespunztor unei capaciti de memorie de 8 Kocteicare ncepe la adresa 2500h.

    [2], slide nr. 7, 8.

    Magistrala de adrese selecteazlocaia de memorie care urmeazsfie scrissaucitit. Pentru o magistralde adrese de 16 bii, dimensiunea spaiului de memorie adresateste de 2161B= 26210B=64 KB.Intervale de adresare Capacitate de memorie0000h00FFh 256 octei0000h00FFh 256 octei0000h03FFh 1024 octei =1 koctet

    0000h0FFFh 4 koctei0000h3FFFh 16 koctei

    Din tabel se deduce c la 8 koctei corespunde intervalul 0000-1FFFh. Pentru obinereaintevalului cerut, se translateaz capetele intervalului 0000-1FFFh cu 2500h, adic seobine intervalul 2500h-44FFh.

    0/1bit

    V

    Microcontroler

    pin0/1bit

    Microcontroler

    pin

    +VCC

    intrareieire

  • 8/10/2019 Licenta EA 2014

    70/210

    7. Descriei funcia de temporizare a unui periferic de tip Timer (temporizator)

    [1], pag. 18.

    Un periferic de tip Timer sau temporizator genereaz evenimente periodice.Perioada evenimentelor sau temporizarea se stabilete prin numrarea unui numrprestabilit de impulsuri cu o anumit perioad. Astfel, temporizatorul conine unnumrtor i un generator de semnal de tact. Numrtorul se ncarccu o constant iarapoi se decrementeaz cu 1 la fiecare impuls primit [1]. Temporizarea corespundeanulrii coninutului numrtorului. Primul impuls primit dup anulare rencarcconstanta i apoi procesul se continun acest fel. Existi varianta n care numrtorulncepe numrarea de la 0 i i incrementeazconinutul la fiecare impuls primit. n acestcaz temporizarea corespunde momentului cnd coninutul numrtorului egaleaz oconstant prestabilit, iar la urmtorul impuls primit numrarea rencepe de la 0. De

    fiecare dat cnd temporizarea se ncheie (coninutul numrtorului se anuleaz sauegaleazconstanta predefinit) un bit indicator (flag) este trecut pe 1 logic.

    Expresia temporizrii este

    00

    CstT Cst T

    f= = , (1.1)

    unde Csteste constanta de temporizare, iar f0=1/T0 reprezint frecvena impulsurilor denumrat.

    Pe baza relaiei (1.1) rezult cele douposibiliti de modificare a perioadei detemporizare: modificarea constantei Cstsau modificarea frecveneif0. A doua variantse

    realizeazde obicei prin divizarea semnalului furnizat de generatorul de tact.Exist temporizatoare de 8 bii, 16 bii, 24 de bii, etc. n funcie de numrul de

    bii al temporizatorului rezultvaloarea maxima constantei Cst.

    n practic, utilizarea unui temporizator implic s se fac o aciune de fiecaredatcnd perioada de temporizare s-a ncheiat (modificarea strii unui led, citirea striiunui pin programat ca intrare, declanarea unei conversii analog-numerice, etc.). Pentruaceasta este necesar testarea continu a bitului indicator (flag) i executarea aciuniirespective n momentul cnd bitul devine 1. Bitul respectiv trebuie imediat ters (trecutpe 0) pentru ca ulterior sse poatdetecta noua trecere pe 1.

  • 8/10/2019 Licenta EA 2014

    71/210

    TimerBlockTimerClockTASSELx IDx MCx

    TACLK

    ACLK

    SMCLK

    INCLK

    00

    01

    10

    11

    EQU0

    SetTAIFG

    CCR0

    CCR1

    CCR2

    CCISxCOV

    SCS

    CCI2A

    CCI2B

    GND

    VCC

    00

    01

    10

    11

    00

    1

    CAP

    SCCI0

    SetTACCR2

    1 CCIFG

    OUT2SignalEQU0

    TimerClock

    POR

    OUTMODx

    Unit2 D Set Q

    Reset

    15 0

    16bitTimer

    TAR

    Clear RC

    Divider

    1/2/4/8

    CMx

    TACLR

    logic

    15Capture

    Mode

    TimerClock Sync

    Comparator2CCI

    EQU2

    YA

    EN

    OUT

    Output

    TACCR2

    Count

    Mode

    8. Descriei principal funcia de captur a unui timer (temporizator). Prezentai apoi pebaza schemei de mai jos, funcionarea modulului Timer_A al familiei de nicrocontrolereMSP430x4xx n modul de lucru captur.

    Fig. 3.7 Structura modulului Timer_A [2].

    [1], pag. 18, pag. 94-95.

    Funcia de captur presupune existena unui semnal exterior microcontroleruluinotat s (aplicat la un pin) pe lng structura de baz care conine numrtorul i

    generatorul de semnal de tact. n acest caz numrtorul numr cresctor. Operaia decaptur implic captarea (reinerea) coninutului numrtorului n momentele de timpcorespunztoare fronturilor semnaluluis.

    Unitile CCR0, CCR1 i CCR2 permit implementareafunciei de captur, cndbitul CAP este pe 1 logic. Prin intermediul biilor CCISx se selecteazunul din cele 4semnale posibile (CCIxA i CCIxB provin de la pini). Frontul (fronturile) acestui semnalvor declana captura. Dac biii CCISx au succesiv valorile binare 11, 10, 11, 10,...,semnalul de intrare comutntre Vcc i GND. Astfel se pot face capturi fra fi nevoiede un semnal extern. Biii CMx permit selectarea frontului semnalului ales cu biii

  • 8/10/2019 Licenta EA 2014

    72/210

    ADC12M

    1.5Vor2.5VReference

    EM0

    CHx=0Bh

    MSP430FG43xandMSP430FG461xdevicesonly

    REFONNCHx=0Ah

    AVCC

    INCHx

    SREF0AVSS

    ADC12SSELx

    ADC12DIVx

    00

    01

    10

    11

    00000001001000110100

    01010110

    011110001001

    1010

    SREF2 1 0 ADC12ON

    VR+-

    ACLK

    MCLK

    SMCLK

    12--bitSAR

    ConvertC12CLK

    BUSY

    SHT0xA12

    A13

    A14

    A15

    SHSxSSH

    SHPENC

    00

    01

    10

    11

    ADC12SC

    TA1

    TB0

    TB1

    0

    1

    SampleTimer1

    0SAMPCONAV

    Ref_x

    CSTARTADDx

    CONSEQxR

    AVSS

    ADC12MCTL0

    --

    16x12

    Memory

    Buffer--

    --

    16x8

    Memory

    Control--

    ADC12MEM15 ADC12MCTL15

    Sync

    Divider

    /1../8

    AD

    I

    4

    SHI

    /4../1024

    4

    SHT1x MSC

    Sample

    and

    Hold

    S/H

    VR-

    ADC12OSC

    VeREF+

    VREF+

    VREF--/ VeREF--

    A0A1A2A3A4A5A6A7

    4

    AVCC Ref_x

    11 10 01 00SREF1

    REF2_5V

    on

    I

    011100

    101110111

    CC

    IN

    R

    CCISx, la apariia cruia se va face captura (cresctor, descresctor sau ambele). nmomentul cnd are loc captura:

    -coninutul registrului numrtor TAR este memorat n registrul TACCRx, x=0, 1,2;

    -bitul indicator (flag) TACCRx CCIFG, x=0, 1, 2, devine 1 logic.

    9. Descriei principial funcia unui modul ADC (analog to digital converter) al unuimicrocontroler. Prezentai apoi pe baza schemei de mai jos (modulul ADC12 al familieide microcontrolere MSP430x4xx) cum se msoartemperatura.

    Fig. 3.11 Structura ADC12 [2].

    [1], pag. 21, 104, 109.

  • 8/10/2019 Licenta EA 2014

    73/210

    Un periferic de tip ADC (Analog to Digital Converter, convertor analog-digitalsau analog-numeric) primete la intrare o tensiune, care poate lua orice valoare ntr-uninterval dat, pe care o convertete ntr-un numr reprezentat prin n bii. Expresianumrului furnizat de ADC, notatNADC, numit i rezultatul conversiei este

    2 ,n inADCref

    UN

    U= (1.4)

    unde Uin reprezint tensiunea de intrare iar Uref reprezinto tensiune de referin, careimpune i intervalul n care Uin poate lua valori, adic [0, Uref). Numrul de bii n arevalori de tipul 8, 10, 12 sau chiar 16 i se mai numete rezoluie.

    Cuanta convertorului (numiti 1 LSB) este reprezentatprin expresia

    .

    2

    ref

    n

    Uq = (1.5)

    Folosind cuanta se poate determina tensiunea de intrare n funcie de rezultatulconversiei, Uin=qNADC. Aceasta reprezintnso aproximare a Uindeoarece determinarearezultatului prin relaia (1.4) implico aproximare n sensul cNADCreprezintde fapt celmai apropiat ntreg de numrul raional 2nUin/Uref.

    Modulul ADC12 are 16 intrri analogice (numite i canale), A0, A1,...,A15.Dintre acestea, 12 corespund unor pini ai microcontrolerului, iar 4 sunt conectate direct latensiuni interne (una dintre acestea, A10, selectat cnd biii INCHx au valoarea 1010,corespunde unui senzor de temperatur).

    Acesta furnizeazo tensiune care depinde de temperatur(Temp), consideratngrade Celsius, conform relaiei:

    0,00355 0,986TempU Temp= + . (3.5)

    Determinarea temeraturii implic: conversia tensiunii corespunztoare canalului10 (adicNADC), determinarea Uindin (1.4), iar apoi variabila Tempse determindin (3.5)unde UTempeste Uindeterminat anterior.

    10. Descriei principial interfaa serialsincronde tip SPI (Serial Peripheral Interface).

    [1] pag. 24, 25.

    Termenul de sincron se refer la faptul c exist o linie comun de semnal de tactcomandatde unul dintre dispozitive, cel care are funcia de master. i n acest caz existcte o linie pentru transmisia n fiecare sens i una de mas.

    Comunicaia serial sincron ntre o component cu funcie master i o component cufuncieslaveeste prezentatn figura 1.11.

  • 8/10/2019 Licenta EA 2014

    74/210

    Fig. 1.11 Comunicaia serialsincron.

    Comanda interfeei SPIpentru funcia master/slave se realizeaz prin linia deselecie /SS (Slave Select).Interfaa SPI a componentei masterconine circuite (baud rate

    generator) pentru generarea semnalului de tact (Serial Clock) SCK. Cele doudispozitiveSPI conin cte un registru de deplasare (shift register) de nbii (valori uzuale pentru n: 8,16) care sunt interconectate ntr-o configuraie de registru distribuit de 2nbii prin liniilede date MOSI (Master Out/Slave In) i MISO (Master In/Slave Out), figura 1.11.Transferul de date se realizeaz prin deplasarea cu n bii a coninutului registruluidistribuit, sincronizatcu semnalul de tact SCK, i are ca rezultat schimbul de octei ntrecele doucomponente master/slave.

  • 8/10/2019 Licenta EA 2014

    75/210

    82

    CIRCUITE I SISTEME

    ANUL II

  • 8/10/2019 Licenta EA 2014

    76/210

    83

    1. Confuzii datorate eantionrii. Exemple

    Prin eantionarea ideal a semnalului ( ) tAtx 0cos= cu pasul Te se obine semnalul n timp discret

    [ ] nAnx 0cos= cu eT00 = . Pentru diferite alegeri ale pasului de eantionare ar trebui s se obin semnale n

    timp discret diferite. Exist ns alegeri diferite ale pasului de eantionare care conduc la acelai semnal n timp

    discret. De exemplu pentru 01 4= /eT se obine semnalul n timp discret [ ] nAnx 4cos=1

    iar pentru

    02 47= /eT se obine semnalul [ ] nAnx 47

    cos=2

    . Dar, datorit periodicitii funciei cosinus cu perioada 2,

    se poate scrie: [ ] ) [ ]nxnAnx 12 =4-2cos(= . Cele dou semnale n timp discret sunt reprezentate grafic n

    figura de mai jos.

    Analiznd figura se constat c cele dou semnale n timp discret sunt identice.

    n consecin, exist alegeri diferite ale pasului de eantionare, care pot conduce la semnale n timp discret

    identice, producnd confuzie. Exemple similare pot fi observate i n figura de mai jos.

  • 8/10/2019 Licenta EA 2014

    77/210

    84

    2. Semnale complexe. Fazori. Conceptul de frecven negativ

    Este bine cunoscut prima formul a lui Euler:

    2

    1+=

    00

    0

    tjtj eet

    /cos .

    Cele dou exponeniale complexe din membrul drept sunt semnale complexe care se mai numesc i fazori. Ele

    pot fi reprezentate ca i vectori rotitori n planul complex. Aceti vectori au module unitare i se rotesc cu

    viteze unghiulare 0 i respectiv -0. Ei sunt reprezentai n figura de mai jos.

  • 8/10/2019 Licenta EA 2014

    78/210

    85

    Dar 0 reprezint i pulsaia (sau frecvena) semnalului t0cos . De aceea se mai spune c frecvena

    fazorului e-j0teste negativ. Acest concept nu are un suport fizic, dar este util pentru simplificarea

    calculelor. Construcia semnalului t0cos cu ajutorul celor doi fazori este prezentat n figura

    urmtoare.

    3. Teorema proieciei. Exemplu de aplicare n teoria aproximrii

    Enunul teoremei proieciei este urmtorul.

    Fie H un spaiu Hilbert i Hs un subspaiu Hilbert nchis al acestuia. Oricare ar fi vectorulxdin H exist

    un vector x~din Hs care reprezint cea mai bun aproximare a luixcu elemente din Hs care areproprietile:

    - distana de laxla x~ este cea mai mic distan de laxla orice element din Hs;- eroarea comis, e=x-x~ , este ortogonal pe subspaiul Hs.

    Dac dimensiunea spaiului Hilbert H este 3 i dac dimensiunea spaiului Hilbert Hs este 2, atunci

    teorema proieciei se particularizeaz la teorema celor trei perpendiculare aa dup cum se vede n

    figura de mai jos.

  • 8/10/2019 Licenta EA 2014

    79/210

    86

    Cea mai bun aproximare a vectorului OA=xcu elemente din planul generat de versorii 2u

    i 3u

    este

    dat de vectorul OB=x~

    , proiecia vectorului OA pe acest plan (Hs).

    n acest caz, eroarea de aproximare este dat de vectorul BA=e, care este ntr-adevr ortogonal pe Hs(aa dup cum afirm cea de a doua consecin a teoremei proieciei).


Recommended