+ All Categories
Home > Documents > C7 Memorii

C7 Memorii

Date post: 07-Apr-2018
Category:
Upload: cristi-szabo
View: 282 times
Download: 0 times
Share this document with a friend

of 22

Transcript
  • 8/6/2019 C7 Memorii

    1/22

    7 CAPITOLUL 7

    CIRCUITE DE MEMORIE

    Un circuit de memorare este un circuit electronic care permite stocarea iregsirea unor informaii reprezentate sub form binar care au fost anterior stocate.Aceast implementare se poate realiza n mai multe moduri, n funcie de suportul fizicutilizat ca de exemplu: memorii magnetice, memorii optice, memorii semiconductoare. ncontinuare ne vom referi numai la memoriile realizate cu dispozitive semiconductoare.

    Exist dou categorii majore de memorii semiconductoare:- memorii cu citire i scriere de date, n aceast categorie intr aa numitele

    memorii cu acces aleator RAM (Random Access Memory) care permit citirea inscrierea unor noi date de ctre sistemul care le utilizeaz. Pentru acest tip de memorietimpul de acces este egal pentru orice adres i ele pot fi selectate n orice ordine.Deoarece memoriile RAM i pierd coninutul n lipsa alimentrii ele sunt memoriivolatile.

    - memorii numai cu citire de date, n aceast categorie intr memoriile ROM(Read Only Memory), PROM (Programmable Read Only Memory), EPROM (EraseableProgrammable Read Only Memory) care pot fi numai citite de ctre sistemul care leutilizeaz, tergerea fiind posibil numai n cazul memoriilor de tip EPROM, dar nu esteefectuat de ctre sistemul utilizator i nu este selectiv n raport cu informaia nscris.De asemenea tot n aceast categorie intr i memoriile EEPROM (ElectricalyEraseable Programmable Read Only Memory)care pot fi att citite ct i terse n modselectiv i reprogramate de ctre sistemul care le utilizeaz. Deoarece memoriile ROMnu i pierd coninutul n lipsa alimentrii ele se numesc nevolatile.

    Citirea informaiei stocate necesit furnizarea unor semnale privind locul unde segsete aceast informaie. Aceste semnale constituie intrri pentru circuitul dememorie i se numesc adrese. Cuvintele binare memorate constituie date pentru acestcircuit i ele sunt semnale de intrare atunci cnd se nscrie n memorie i semnale deieire atunci cnd se citete din memorie. Accesul la memorie se face la un moment detimp bine determinat, care se comunic circuitului de memorie printr-un semnal. Astfelcircuitul de memorie mpreun cu conexiunile sale informaionale are structura din figura7.1.

    Figura 7.1. Conexiunile memoriei.

    104

  • 8/6/2019 C7 Memorii

    2/22

    Circuite digitale

    Transferul de date este bidirecional n cazul memoriilor RAM i EEPROM iunidirecional n cazul memoriilor ROM, PROM i EPROM.

    7.1 Definirea termenilor i caracteristicile mai importante ale uneimemorii.

    Fiecare element de stocare din memorie se numete celul i poate lua valoarea 0logic sau 1 logic. Memoria este compus din arii de celule.Putem definii:- Geometria sau modul de organizare a memoriei reprezentat de lungimea unuicuvnt i numrul de cuvinte memorate;- Capacitatea memoriei reprezentnd numrul total de bii ce pot fi memorai, seexprim n general n multipli de 1 k=1024 bii. Acest numr reprezint produsul dintrenumrul cuvintelor memorate i lungimea cuvntului. De exemplu o memorie de 16koctei reprezint o memorie de 16.384 cuvinte de 8 bii.

    n figura 7.2 se prezint diferite moduri de organizare a memoriei folosind ca

    exemplu o arie de 64 de celule. n figura 7.2.a se prezint o memorie cu capacitatea de64 bii organizat ca o memorie de 8 cuvinte de 8 bii adic o memorie de 8 octei. nfigura 7.2.b se prezint o memorie de aceeai capacitate dar organizat ca o memoriede 16 cuvinte de 4 bii adic 16 nible. n cele din urm figura 7.2.c reprezint o memoriede 64 cuvinte de 1 bit.

    1 1 12 2 23 3 34 4 45 5 56 6 678

    1 2 3 4 5 6 7 814 615 616 6

    1 2 3 4 1a) arie de 8x8 b) arie de 16x4 c) arie de 64x1

    Figura 7.2. Arie de memorie de 64 celule organizat n trei moduri diferite

    Alte proprieti ale memoriilor sunt:- Timpul de acces la memorie reprezint timpul necesar pentru citirea sau

    scrierea unor informaii n memorie, se exprim n s sau ns.- Puterea consumat raportat la un bit de informaie, exprim puterea total

    consumat de circuit raportat la capacitatea memoriei adic W/bit;

    105

  • 8/6/2019 C7 Memorii

    3/22

    Capitolul 7. Circuite de memorie

    - Volatilitatea. O memorie este volatil dac informaia nscris se pierde n timp,pierderea informaiei se poate datora fie modului de stocare a acesteia n cazulmemoriilor dinamice, fie datorit dispariiei tensiunilor de alimentare ale circuitului.Modul de adresare depinde de organizarea memoriei. Adresa unui bit este dat prin liniei coloan n timp ce adresa unui octet se specific doar prin linie, ca i n figura 7.3.

    1 12 23 34 45 56 67 78 8

    1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8a) adresa bitului este lina 5 coloana 4 b) adresa octetului este linia 4

    Figura 7.3. Exemple de adresare a memoriilor.

    7.1.1 Operaiile de baz ale memoriilor

    Avnd n vedere c memoriile stocheaz date binare, acestea trebuiesc puse nmemorie i datele de care avem nevoie trebuiesc citite din memorie. Operaia de punerea datelor la o anumit adres n memorie se numete scriere iar operaia de scoatere adatelor de la o anumit adres din memorie se numete citire din memorie. Operaia deadresare este parte component a ambelor operaii i permite selectarea adresei dememorie specificat. Datele se scriu i se citesc prin intermediul magistralei de datecare este bidirecional, adic datele pot circula spre sau dinspre memorie, aa cum sepoate vedea i n figura 7.1. Adresa este specificat printr-un cod plasat pe magistralade adrese. Codul de adres este decodificat n interiorul memoriei, i se selecteazadresa dorit. Numrul de linii din magistrala de adrese depinde de capacitateamemoriei. De exemplu un cod de adres de 12 bii poate selecta 4096 (212) locaii dememorie, un cod de 16 bii poate selecta 655363 (216) locaii, iar un cod de 32 bii cumse ntlnete n calculatoarele personale poate selecta 4.294.967.296 (232) locaii,exprimat ca 4G locaii.

    Operaia de scriere. O operaie simpl de scriere este reprezentat n figura 7.4.Pentru a stoca un octet de date n memorie, un cod pstrat ntr-un registru de adreseste pus pe magistrala de adres. Decodificatorul de adres decodific aceast adres

    i selecteaz locaia de memorie specificat. Dup aceasta memoria primete ocomand de scriere i datele pstrate ntr-un registru de date sunt plasate pe magistralade date i stocate la adresa indicat din memorie. Dac se d o comand de scriere la oadres la care au mai fost nscrise date, acestea se suprascriu, deci se pierd.

    Operaia de scriere se face n felul urmtor:1) Codul adresei este plasat pe magistrala de adrese i adresa 5 este selectat2) Octetul de date se plaseaz pe magistrala de date

    106

  • 8/6/2019 C7 Memorii

    4/22

    Circuite digitale

    3) Comanda de scriere cauzeaz nscrierea datei la adresa 5, datele precedentesunt nlocuite.

    Registru de Registru deadres date

    101 10001101

    Decodificator

    de

    adres

    01

    1 234 25 1 0 0 0 1 1 0 167

    3Scriere

    Figura 7.4. Operaiei de scriere n memorie.

    Operaia de citire. Pentru a citi un octet de date din memorie un cod pstrat ntr-un registru de adres este pus pe magistrala de adres. Decodificatorul de adresdecodific aceast adresa i selecteaz locaia de memorie specificat. Dup aceastamemorie primete o comand de citire i o copie a octetului de date stocat la adresaspecificat este plasat pe magistrala de date i ncrcat ntr-un registru de date. Lacitirea datelor de la o adres, acestea rmn n continuare n memorie deci nu se pierd.Aceasta se numete citire nedistructiv. Operaia de citire este prezentat n figura 7.5.

    Registru de Registru deadres date

    100 11001011

    Decodificator

    de

    adres

    01

    1 23 3

    4 1 1 0 0 1 0 1 1567

    2Citire

    Figura 7.5. Operaia de citire a memoriei.

    107

  • 8/6/2019 C7 Memorii

    5/22

    Capitolul 7. Circuite de memorie

    Operaia de citire se face n felul urmtor:1) Codul adresei este plasat pe magistrala de adrese i adresa 4 este selectat.2) Se aplic comanda de citire.3) Coninutul memoriei de la adresa 4 este plasat pe magistrala de date i se nscrie

    n registrul de date. Coninutul locaiei 4 rmne neschimbat.

    7.2 Memoria RAM

    Pentru memorarea unui cuvnt circuitul registru poate fi un suport flexibil i demare vitez. La un volum mai mare de cuvinte soluia este o colecie de registre, darpentru un volum mai mare de ordinul sutelor de cuvinte are urmtoarele dezavantaje:cost ridicat, acces doar pe cuvnt i decodificator complex. Pentru un volum mare deinformaie soluia eficient este o memorie realizat ca o colecie de celule dememorare, fiecare celul avnd posibilitatea de selectare pentru tergere i nscriere aunui bit.

    n cadrul familiei de memorii RAM se disting dou subfamilii i anume memoriile

    RAM statice SRAM (Static RAM) i memoriile RAM dinamice DRAM (DynamicRAM). Memoriile RAM statice folosesc ca element de memorare circuite basculantebistabile i prin urmare pot memora informaia un timp nedefinit, att timp ct sepstreaz alimentarea circuitului. Memoriile RAM dinamice folosesc condensatoareca elemente de stocare i prin urmare ele nu pot memora informaia un timp foarte marefr ca acestea s fie rencrcate n cadrul unui proces numit remprosptare. Ambeletipuri pierd informaia memorat la decuplarea alimentrii i n consecin fac parte dinclasa memoriilor volatile.

    Datele pot fi citite mai rapid din memoriile SRAM dect din memoriile DRAM, daracestea din urm pot stoca mult mai multe date pentru o dimensiune fizic dat i la un

    pre mai mic datorit faptului c ele sunt mai simple i se pot integra mai multe celule dememorare DRAM pe unitatea de suprafa dect celule SRAM.Tipurile de baz de memorii SRAM sunt memoria SRAM asincron i memoria

    SRAM n rafale (sau cu lucru n avalan). Tipurile de baz de memorii DRAM suntmemoria FPM DRAM (Fast Page Mode DRAM), EDO DRAM (Extended Data OutDRAM), BEDO DRAM (Burst EDO DRAM) i memoria DRAM sincron (SDRAM), i mainou DDRAM (Double Data Rate SDRAM).

    Memoria cu acces aleator (RAM)

    Memoria RAM static (SRAM) Memoria RAM dinamic (DRAM)

    Memoria

    SRAM

    asincron

    (ASRAM)

    SRAM

    cu lucru n

    avalan

    (SBRAM)

    DRAM

    cu acces rapid

    pe pagin

    (FPM DRAM)

    DRAM

    cu ieire extins

    de date

    (EDO DRAM)

    EDO DRAM

    cu lucru n

    avalan

    (BEDO DRAM)

    Memorie

    DRAM

    sincron

    (SDRAM)

    Figura 7.6. Familia de memorii RAM.

    108

  • 8/6/2019 C7 Memorii

    6/22

    Circuite digitale

    Considerm ca fiind o celul de memorie, circuitul elementar care realizeazmemorarea unui bit. Aceasta se poate realiza fie cu tranzistoare bipolare fie cutranzistoare MOS.

    7.2.1 Memoria RAM static

    Toate memoriile RAM statice sunt alctuite din bistabili care de obicei suntimplementai cu tranzistoare MOS. Exist unele memorii de capacitate mic realizate cutranzistoare bipolare.

    7.2.1.1 Celula de memorie cu tranzistoare bipolare n cazul utilizrii tranzistoarelor bipolare circuitul elementar de memorie l

    constituie circuitul basculant bistabil (CBB). n figura 7.7 sunt prezentate structuri debistabile folosite n memoriile RAM avnd capacitatea de pn la 1 kbit.

    Dup cum se vede, schemele au la baz un CBB realizat cu tranzistoarele T 1 iT2. Liniile de conexiune n afara celulei sunt:

    - 2 linii de bit (DL i DL ) care folosesc la scrierea i citirea informaiei n celul,aceste linii sunt comune tuturor celulelor de pe aceiai coloan dintr-o matrice dememorie;

    - o linie de selecie cuvnt (WL), reprezentnd selecia pe linii n matricea dememorie, acionarea unei selecii cuvnt face posibil citirea sau scrierea informaiei noricare din celulele de memorie situate pe aceeai linie n matrice;

    - o linie de alimentare (sursa de tensiune VCC), aceast conexiune este comuntuturor celulelor din matricea de memorie.

    Figura 7.7. Celula de memorare SRAM cu tranzistoare bipolare.

    Celula de memorie din figura 7.7.a folosete tranzistoare multiemitor. n stareaneselectat conexiunea selecie cuvnt (WL) este meninut la un potenial cobort(+0,3 V). Liniile de bit (DL, DL ) sunt conexiuni pentru sesizarea strii bistabilului i nmod normal sunt conectate la captul coloanei la o tensiune de 0,5 V prin intermediul

    109

  • 8/6/2019 C7 Memorii

    7/22

    Capitolul 7. Circuite de memorie

    unor rezistene care s sesizeze apariia nchiderii unui curent. Pentru citire, seleciacuvnt este pus la un potenial mai ridicat (+3 V) apropiat de V CC. n felul acestaemitoarele conectate la liniile de bit sunt polarizate la o tensiune mai cobort (+0,5 V)i conducia este preluat de acestea. Curentul de emitor se va nchide pe rezistenelesenzor conectate la liniile de bit i n funcie de semnul tensiunii obinute la bornele celor

    dou linii de bit se poate spune care este starea bistabilelor. Dac spre exemplu T 1conduce i T2 este blocat, atunci la aplicarea comenzii citire pe selecia cuvnt se vaobine la ieire o tensiune diferenial cu plusul pe DL i minusul pe DL . n cazul T1blocat i T2 conduce sensul tensiunii se schimb.

    Pentrunscrierea informaiei n celul se ridic potenialul seleciei cuvnt (WL)i simultan se foreaz cu circuite adecvate pe liniile de bit o diferen de potenialcorespunztoare strii n care dorim s se afle bistabilul. De exemplu, pentru a obine T1

    n conducie i T2 blocat se foreaz linia de bit stnga (DL) la zero iar linia de bitdreapta (DL ) la o tensiune de circa +0,5 V. Acest circuit are un consum de putere nstare neselectat de circa 800 V i o vitez de comutaie de 20 ns.

    Celula din figura 7.7.b folosete diode Schottky avnd un consum de putere nstare neselectat de 60 V i o vitez de comutaie de 5 ns.

    7.2.1.2 Celula de memorie cu tranzistoare MOSCelula de memorie static realizat cu tranzistoare NMOS este reprezentat n

    figura 7.8. Celula folosete ase tranzistoare NMOS. Tranzistoarele T1 i T2 reprezintsarcini active, iar T3i T4 constituie un bistabil. Tranzistoarele T5 i T6 permit conectareaieirilor bistabilului la liniile de bit pentru citirea sau nscrierea informaiei. n stareaneselectat linia WL se afl conectat la potenial zero i tranzistoarele T 5 i T6 suntblocate. Liniile de bit sunt conectate prin rezistene la +VDD.

    Pentru citire se aplic tensiuneridicat pe linia de selecie cuvnt WL.Tranzistoarele T5 i T6 se deschid ipermit determinarea strii bistabilului.De exemplu, cnd bistabilul este nstarea T3 blocat i T4 conducie, lacomanda de citire linia de bit DL vaavea un potenial apropiat de zero printranzistorul T4.

    Pentru nscrierea informaiei seridic potenialul liniei WL i apoi se

    foreaz cu circuite adecvate tensiunezero pe linia de bit n care dorim sobinem zero la citire. De exemplu dacse aplic zero pe linia DL bistabilul vatrece n starea T3 conducie i T4 blocat.

    Acest circuit folosind tranzistoare MOS cu canal n are o vitez de comutaierelativ ridicat i o putere disipat n stare neselectat mic. Ca un dezavantaj trebuie

    Figura 7.8. Celul de memorare

    SRAM cu tranzistoare NMOS

    110

  • 8/6/2019 C7 Memorii

    8/22

    Circuite digitale

    menionat c sunt necesare dou linii de alimentare pentru fiecare celul deoarecepentru conducia tranzistoarelor T1 i T2 de tipul MOS cu mbogire, grilele trebuie s fiela un potenial pozitiv fa de sursele tranzistoarelor.

    Se poate vedea c dac pentru durate scurte de timp tensiunea de alimentareVDD lipsete bistabilul i pstreaz starea datorit capacitilor parazite gril surs ale

    tranzistoarelor T3 i T4. Aceast proprietate sugereaz posibilitatea micorrii puteriiconsumate (i deci disipate) n celul prin ntreruperea i cuplarea periodic aalimentrii. Se poate obine n felul acesta o reducere a puterii consumate de pn la1000 de ori (ntr-o perioad de 2 ms se alimenteaz circuitul numai un interval de 2 s).

    Circuitul din figura 7.9 folosete un circuitbasculant bistabil realizat cu tranzistoarecomplementare MOS (CMOS). Ca urmare noricare din strile stabile circuitul nu consumenergie de la sursa de alimentare. Funcionareacircuitului este similar cu aceea a circuitului

    precedent. n stare neselectat linia WL este laun potenial cobort i T5, T6 blocate.Pentru citire se aplic un potenial ridicat

    liniei WL iar pentru nscriere se foreazsuplimentar tensiune cobort pe una din liniilede bit DL sau DL . Acest circuit are o singursurs de alimentare, deoarece folosetetranzistoare MOS canal p i canal n.

    Problema esenial n realizarea acestor circuite este obinerea unor circuite dememorie cu o capacitate ct mai mare pe o suprafa de semiconductor ct mai mic.

    Aceasta se poate realiza urmrind reducerea suprafeei ocupate de o celul i anumrului de interconexiuni. Reducerea suprafeei unei celule este legat de douaspecte:

    - reducerea puterii disipate n celul;- reducerea numrului de componente electronice ale unei celule i a

    dimensiunilor acestora.

    7.2.2 Memoria RAM dinamic

    Structura celulei de memorie poate fi simplificat n mod considerabil dac pentrustocarea informaiei se folosete sarcina acumulat ntr-un condensator. Starea 0 poatefi reprezentat printr-un condensator descrcat (tensiune zero) iar starea 1 printr-uncondensator ncrcat (tensiune diferit de zero).

    n figura 7.10 se prezint un astfel de circuit n care capacitile de memoraresunt realizate cu capacitile gril-surs ale tranzistoarelor MOS.

    Eliminarea tranzistoarelor de sarcin din bistabil este posibil, starea circuituluirmnnd nemodificat datorit sarcinii acumulate n cele dou condensatoare C1 i C2.

    Figura 7.9.Celula de memorare

    SRAM cu tranzistoare CMOS

    111

  • 8/6/2019 C7 Memorii

    9/22

    Capitolul 7. Circuite de memorie

    Cele dou condensatoare nu sunt realizateseparat de tranzistoarele T1 i T2 pe circuitci sunt formate de capacitatea gril canal atranzistoarelor T1 i T2. Dac bistabilul este

    n starea T1 blocat i T2 saturat, nseamn

    c avem VGS1=0 i VGS2>Vprag respectiv C1descrcat i C2 ncrcat. Pentru situaiacnd T3 i T4 conduc, ansamblul T1, T2 , T3,T4 formeaz un bistabil similar cu cel dinfigura 7.8 i circuitul i menine starea.Dac T3 i T4 sunt blocate sarcinaacumulat n C2 se pierde lent datoritdescrcrii prin jonciunea dren substrat atranzistorului T1. Pentru un anumit intervalde timp ( 2 ms) se poate considera c C2

    rmne ncrcat i informaia nscris (T1 blocat i T2 conducie) se pstreaz. Caurmare circuitul poate funciona fr tranzistoarele de sarcin, folosind pentru memoraresarcina acumulat n condensatoarele C1 sau C2, cu condiia ca ceasta s fie refcutperiodic. Refacerea ei se face pur i simplu prin deschiderea tranzistoarelor T3 i T4.Trebuie observat c o comand de deschidere a tranzistoarelor T3 i T4 afecteazsimultan toate celulele de pe aceeai linie WL i deci refacerea sarcinii se face n toatecelulele de pe linia selectat. Simultan cu refacerea sarcinii la fel ca la circuiteleprecedente se poate face i citirea strii prin msurarea curentului ce se nchide prinliniile de bit i rezistenele conectate la +VDD. Deosebim deci urmtoarele moduri delucru:

    Citire: se ridic nivelul liniei WL i se citesc potenialele liniilor de bit DL i DL ;Scriere: se ridic nivelul liniei WL i se foreaz cu circuite adecvate potenialelecorespunztoare pe liniile de bit DL i DL pentru o anumit stare a bistabilului, deexemplu pentru a pune bistabilul n starea T1 blocat i T2 n conducie se aplic potenialridicat pe linia DL i potenial cobort pe linia DL.

    Refacerea sarcinii (refresh) n condensatoare: se face simultan cu citirea sauscrierea prin comanda WL, pentru o ntreag linie, refacerea sarcinii trebuie fcutpentru toate liniile matricei de memorie n intervalul de timp corespunztor , pentru casarcina pierdut s nu modifice starea bistabilului.

    Aceast structur de circuit are urmtoarele avantaje:

    - se folosesc numai patru tranzistoare pe celul;- sunt necesare numai trei linii de legtur, lipsind linia de alimentare.Ca urmare, circuitul obinut permite o densitate mai mare de celule de memorie i

    respectiv o capacitate mai mare a memoriei pe aceeai suprafa de siliciu.Folosind memorarea prin sarcina electric a unui condensator, circuitul se poate

    simplifica n continuare, obinndu-se o structur cu trei tranzistoare pe celul (figura7.11).

    Figura 7.10. Celula de memorare RAMMOS dinamic

    112

  • 8/6/2019 C7 Memorii

    10/22

    Circuite digitale

    Figura 7.11. Celula RAM MOS dinamicacu numr redus de componente

    Figura 7.12. Celula RAM MOS dinamica

    minimal

    Elementul de memorie l constituie condensatorul C constituit din capacitatea

    gril canal a lui T1. Dac C este descrcat atunci VGS1

  • 8/6/2019 C7 Memorii

    11/22

    Capitolul 7. Circuite de memorie

    face selecia liniei acionnd WLi i selecia coloanei prin perechea DLjDL j .Capacitatea memoriei este dat de produsul m x n i se msoar n bii.

    Figura 7.13. Structura unei matrici de memorie.

    Celula de memorare dintr-o matrice poate fi cu funcionare static sau dinamicde unde i denumirile corespunztoare structurii respective de memorie SRAM, pentrumemoria cu celule statice i DRAM pentru memoria cu celule dinamice. O celul staticpstreaz un timp nedefinit informaia nscris, n schimb la o celul dinamic

    proprietatea de memorare a informaiei depinde de timp n sensul c memorarea are locun timp definit.Circuitele anex care asigur funcionarea unei celule de memorie sunt:- circuitele de selecie - decodificatoare de linii i coloane care permit selectarea

    prin linia WLi i coloana DLj a celulei dorite;- circuitele de citire a informaiei din celul, conectate pe liniile de bit DLj i DL j,

    aceste circuite sunt comune unei ntregi coloane din matricea de memorie, ele suntactivate de decodificatorul de coloan;

    - circuite de nscriere a informaiei n celul, conectate de asemenea pe liniile debit DLj i DL j, acestea asigur forarea potenialelor liniilor de bit astfel nct s se

    nscrie informaia corespunztoare n celul, i aceste circuite sunt activate dedecodificatorul de adres.Cnd numrul de celule de memorare este mare (>103) complexitatea circuitului

    de nscriere, citire i n special a celui de selectare pentru aceste dou operaii ntrecepe cea a celulelor care de fapt constituie suportul de memorare. Complexitateacircuitului de selectare se poate reduce printr-o structurare a celulelor ntr-o reeamatricial cu o selectare bidimensional, pe linii i coloane. De aceea adresa de n biieste divizat n dou grupe de bii, una se aplic decodificatorului liniilor matricei iar

    114

    Celul dememorare

  • 8/6/2019 C7 Memorii

    12/22

    Circuite digitale

    cealalt grup multiplexoarelor pentru coloane. Din punct de vedere tehnologic esterecomandat ca matricea de celule s fie ptrat. O matrice ptrat necesit ca n/2 biide adres s fie aplicai la DCD n/2:2n/2 pentru activarea uneia din cele 2n/2 linii iar ceilalin/2 bii s fie aplicai circuitului MUX 2n/2:1 pentru selectarea uneia din cele 2n/2 coloane.La intersecia liniei activate cu coloana selectat se afl celula asupra creia se poate

    realiza o operaie de scriere sau citire (figura 7.14).

    Aceast structurare, realizat doar cu un circuit multiplexor pentru selectarea pedimensiunea orizontal trebuie completat. Deoarece o celul poate primi date, prin

    nscriere, i poate genera date, prin citire, circuitul de selectare pe orizontal trebuie spermit transferul bidirecional al datelor. O astfel de structur, ce poate att sdistribuie date (DMUX) ct i s selecteze date (MUX) este referit ca un circuitmultiplexor-demultiplexor.

    Pentru a prezenta structura circuitelor de memorie de tip SRAM lum ca exemplumemoria uPD43256B care este o memorie SRAM de 32K x8 bii. Simbolul logic este

    prezentat n figura 7.15.a.R A M 3

    4 3 2 5 6

    A 0

    A 1

    A 2

    A 3

    A 4

    A 5

    A 6

    A 7

    A 8

    A 9

    A 1 0

    A 1 1

    A 1 2

    A 1 3

    A 1 4

    C E

    O E

    W E

    D 0

    D 1

    D 2

    D 3

    D 4

    D 5

    D 6

    D 7

    V C C

    a) Simbolul logic b) Configuraia ariei de memorie

    Figura 7.14. Memoria uPD43256B, SRAM de 32K x8 bii

    Figura 7.14Figura 7.14

    Matrice de memorie256 linii x

    128 coloane x

    8 bii

    115

    128 coloane

    8 bii

    256

    linii

  • 8/6/2019 C7 Memorii

    13/22

    Capitolul 7. Circuite de memorie

    Matricea de memorie conine la intersecia unei linii cu o coloan o celul dememorie de 1 bit. Liniile de intrare i ieire de date I/O 1 pn la I/O8 sunt comune. Pentrua putea folosii linii comune pentru intrare i ieire se folosesc circuite tampon cu trei stri(buffere tristate). Figura 7.15.b prezint organizarea ariei de memorie.

    Figura 7.15. Schema bloc a memoriei PD43256B.Funcionarea memoriei este urmtoarea. Pentru ca memoria s poat funciona

    ea trebuie s primeasc un semnal CS de valoare zero logic. Liniile de adres sunt mprite n dou grupe. Opt din cele 15 linii de dres sunt decodificate dedecodificatorul de linii pentru a selecta o linie din cele 256 iar restul de apte dedecodificatorul de coloane care selecteaz o coloan din 128. La intersecia dintre liniai coloana selectat se gsete cuvntul de 8 bii care trebuie citit sau scris.

    1

    Matrice de memorie

    256 linii x 128 x 8 coloane

    I/E8

    Linii deadres

    1 2

    7

    1

    12

    7

    I/E1

    Ieire date

    12

    7

    linii

    Intrri/Ieiri coloane

    Linii de adres

    __CS

    __OE

    8 buffere deintrare

    __WE

    8 buffere de ieire

    Controldate

    intrare

    2

    7

    Decodificator coloane

    Decodificator

    G1

    G2

    116

  • 8/6/2019 C7 Memorii

    14/22

    Circuite digitale

    Semnalele sunt transmise n exterior prin intermediul unor circuite de intrare iieire. Circuitul de control comand circuitele care particip la realizarea funciei de citiresau scriere. De exemplu pentru citire semnalul WE trebuie s fie 1 logic i semnalulOE zero logic. Bufferele de intrare sunt dezactivate de poarta G1 i bufferele de ieirepe coloane sunt activate de poarta G2. Deci cei 8 bii de date de la adresa selectat

    sunt trimise prin liniile de I/E pe coloane spre liniile de date (I/O1 la I/O8) carefuncioneaz ca linii de ieire. Pentru scriere semnalul WE este 0 logic i OE 1 logicceea ce duce la activarea de ctre poarta G1 a circuitelor de intrare i dezactivarea dectre poarta G2 a circuitelor de ieire. Cei 8 bii de date de la intrare sunt trimise princircuitul de control de la intrare i I/E coloane la adresa selectat pentru memorare.

    Pentru funcionarea corect a circuitelor de memorie semnalele aplicate la bornetrebuie s satisfac anumite restricii de timp. n figura 7.17 sunt prezentate semnalelepentru citirea (a) i scrierea (b) ntr-o memorie RAM.Pentru citire se aplic un cod de adres valid pentru un interval de timp tRC - ciclu decitire care reprezint intervalul de timp ntre dou schimbri de adres. Apoi se aplic

    semnalul CS = 0. Dup un timp notat tCS - timpul de apariie a datelor dup aplicareaimpulsului pe CS , datele valide apar pe liniile de date. Se mai definesc:- TA - timp de acces la memorie = timpul scurs de la stabilirea adreselor pn laobinerea datelor valide la ieire;- tOTD - intervalul de timp ct datele sunt stabile la ieire;Impulsul de scriere-citire (R/W =1) are valoare corespunztoare citirii din memorie petoat durata ciclului.

    a) b)

    Figura 7.16. Diagrame de timp pentru ciclu de citire i scriere

    Pentru scriere se aplic un cod de adres valid pentru un interval de timp numit ciclude scriere tWC. Apoi semnalele CS i R/W (WE ) se pun n zero logic i datele seaplic pe intrare. Notaiile din figura 7.17.b au urmtoarea semnificaie:- tWA - intervalul de timp n care adresele trebuie s rmn stabile n raport cu frontulposterior al impulsului de scriere;- tW - durata impulsului de scriere;- tDS - timp de pregtire a datelor n raport cu momentul nscrierii;

    117

  • 8/6/2019 C7 Memorii

    15/22

    Capitolul 7. Circuite de memorie

    - tDM - timp de meninere a datelor dup dispariia impulsului de scriere.Dup cum se observ din figura 7.17.b datele ce se nscriu n memorie trebuie s

    rmn stabile un interval de timp tDS + tDM n jurul frontului posterior al impulsului descriere. De asemenea impulsul de scriere R/W =0 nu poate veni naintea stabiliriiadreselor i poate fi simultan cu CS .

    7.3 Memoriile ROM, PROM, EPROM, EEPROM (E2PROM)

    n esen memoria ROM (Read Only Memory) este un convertor de cod compusdintr-un decodificator i un codificator. Interpretnd vectorul de intrare al DCD ca un codde adres, datele obinute la ieirea CD reprezint informaia nmagazinat la adresarespectiv. Rezult c sediul informaiei memorate n ROM este codificatorul, a cruistructur determin cuvntul binar de ieire pentru fiecare adres n parte. Structuracodificatorului este stabilit n procesul de fabricaie al CI sau poate fi impus deutilizator prin programare. n aceste condiii ROM devine o memorie fix (care poate finumai citit), nevolatil al crui coninut nu se modific n funcionare. O memorie ROM

    memoreaz date care se folosesc n mod repetat n aplicaii cum ar fi tabele, conversii,sau instruciuni de program pentru iniializarea sistemului.Figura 7.18 prezint clasificarea memoriilor ROM.

    ROM

    ROMprogramatprin masc

    la productor

    ROMprogramabilla utilizator

    (PROM)

    PROM cutergere

    (EPROM)

    EPROM cutergere cuultraviolete

    (UV EPROM)

    PROM cutergereelectric

    (EEPROM)Figura 7.17. Familia de circuite ROM

    Memoria ROM programat prin masc este tipul n care datele sunt nscrise ntimpul procesului de fabricare. Memoriile PROM sau memoriile ROM programabile suntmemoriile n care datele sunt nscrise pe cale electric de ctre utilizator cu ajutorul unuiechipament special numit programator de memorii. Ambele tipuri de memorie de maisus pot fi realizate att n tehnologie bipolar ct i MOS. Memoria EPROM saumemoria PROM care poate fi tears se poate realiza numai n tehnologie MOS.Memoria UV EPROM poate fi programat pe cale electric de ctre utilizator, dar poate

    fi tears numai prin expunere la raze ultraviolete pentru o perioad de cteva minute.Memoria EPROM care poate fi tears pe cale electric se numete memorie EEPROMsau E2PROM i poate fi tears n cteva milisecunde.

    118

  • 8/6/2019 C7 Memorii

    16/22

    Circuite digitale

    Schema bloc a unei memorii ROM este prezentat n figura 7.19. Cele n linii deadres (intrrile A0, A1,An-1) sunt decodificate n m = 2n linii de cuvnt (W0,,Wm-1), iar(CD), care constituie matricea de memorie, furnizeaz la ieire m cuvinte de cte kbii(O0,,Ok-1). Capacitatea C a unei memorii fixe este determinat de numrul de bii aimatricei de memorie (C=mk). Ea se exprim de cele mai multe ori n kilobii (1 kbit =

    1024 bii).

    Figura 7.18. Schema bloc a unei memorii ROM.

    Din punctul de vedere al tehnologiei se deosebesc memorii ROM realizate ntehnologie bipolar sau n tehnologie MOS. Primele sunt utilizate n aplicaiile n care

    timpul de acces la informaia memorat trebuie s fie mic (zeci de ns), iar cea de a douacategorie este proprie aplicaiilor care necesit capaciti mari de memorare la un timpde acces de ordinul sutelor de ns. Programarea memoriilor ROM este procesul prin carese stabilete coninutul memoriei, n conformitate cu aplicaia avut n vedere, acionndasupra codificatorului (matricei de memorie). Metodele folosite pentru programaredepind de tipul memoriei.

    7.3.1 Memoriile bipolare

    Memoriile bipolare pot fi programate att la productor prin masc (Mask ROM)ct i la utilizator (PROM), prin aplicarea unor proceduri de programare.

    7.3.1.1 Programarea prin masc.Considernd codificatorul realizat cu tranzistoare n conexiunea colector comun,

    fiecrui bit corespunzndu-i un tranzistor i reprezentnd doar tranzistoarele cecorespund unei singure linii de cuvnt Wp (figura 7.20). n primele etape ale procesuluitehnologic se realizeaz matricea de memorie lsnd ntrerupte legturile bazelortranzistoarelor la linia de cuvnt (sau ale emitoarelor la linia de bit L0, L1,L2,L3).

    Programarea constituie o etap aprocesului de fabricaie n care cuajutorul unei mti, n conformitate cucerinele utilizatorului, se realizeaz

    unele dintre legturile l0,..,l3 pentrufiecare cuvnt n parte. De exempludac liniei Wp i corespunde cuvntul1010, se realizeaz conectarea bazeitranzistoarelor T3 i T1. Astfel la selecialiniei de cuvnt Wp tranzistoarele T3 i T1intr n conducie i cderea de tensiune Figura 7.19. ROM programat prin masc

    119

  • 8/6/2019 C7 Memorii

    17/22

    Capitolul 7. Circuite de memorie

    pe rezistenele din emitor transmit un 1 logic pe liniile de bit respective (O 3 i O1).Celelalte tranzistoare fiind blocate potenialul liniilor O2 i O0 este zero. Principaluldezavantaj al acestei metode este costul ridicat, mai ales pentru serii mici de circuite.

    7.3.1.2 Programarea de ctre utilizator.

    n figura 7.21 se prezint parteacorespunztoare unei linii de cuvntpentru codificatorul unei memorii fixeprogramabile de ctre utilizator(PROM). Aici elementele de memoriepot fi considerate pelicule subiri decrom-nichel (f0, f1, f2, f3), care pot fi

    ntrerupte sau nu de ctre utilizator,prin trecerea unui curent deprogramare Ip, intens (zeci sau sute demA) i de scurt durat (zeci de ms)prin circuitul marcat cu linie ntrerupt.Diodele d au rolul de a mpiedicaramificarea curentului de programare spre f2, f1 i f0. Dac se dorete programareacuvntului 1010 se ntrerup f3 i f1. La selecia liniei de cuvnt tranzistorul T intr nconducie i pune la mas liniile de bit care au conexiunea nentrerupt (O2 i O0), restulliniilor fiind n 1 logic n mod permanent. Evident c legturile ntrerupte nu mai pot firefcute i modificarea coninutului memoriei programate se poate face numai dac fade prima programare sunt necesare doar treceri ale valorii unor bii de pe 0 pe 1.

    7.3.2 Memoriile ROM realizate n tehnologie unipolar

    La fel ca i memoriile bipolare, memoriile realizate n tehnologie unipolar pot fi:programabile prin masc i programabile la utilizator.

    7.3.2.1 Programarea prin mascAceasta se face de productor pe baza tabelului privind coninutul matricei de

    memorie, furnizat de utilizator. n primele etape ale procesului de fabricaie serealizeaz toate tranzistoarele MOS din nodurile matricei codificatorului, cu excepiadepunerii stratului izolant al porii i a electrodului poart. n etapa de programare,tranzistoarele inactive se realizeaz cu ogrosime mai mare a stratului izolant al

    porii, iar cele active cu o grosime maimic. n situaia prezentat n figura 7.22,pentru programarea cuvntului 1010,tranzistoarele T3 i T1 vor f realizate cu unstrat izolant al porii de grosime mai mare,rmnnd blocate indiferent de nivelul

    Figura 7.20. ROM programat la utilizator

    120

    Figura 7.21. Memorie ROM MOS

  • 8/6/2019 C7 Memorii

    18/22

    Circuite digitale

    logic aplicat la linia de cuvnt Wp. n aceast figur cu Ts s-au notat tranzistoarele desarcin.

    7.3.2.2 Programarea de ctre utilizator.

    n tehnologia MOS exist dou metode pentru realizarea memoriilor fixe,programabile pe cale electric de ctre utilizator (EPROM). Prima dintre ele estesimilar cu cea folosit la programarea la utilizator a memoriilor ROM bipolare i const

    n arderea unor legturi fuzibile prin intermediul unui curent controlat. Cea de a doua sebazeaz pe folosirea unei pori flotante neconectate la circuitul exterior i plasat izolat

    ntre poarta propriu-zis i substrat. n cazul utilizrii tranzistoarelor cu canal N, ncrcarea porii flotante cu o sarcin electric negativ echivaleaz cu cretereatensiunii de prag poart-surs. Aceast cretere poate fi att de mare nct tranzistorulrmne blocat chiar dac la poart se aplic un potenial corespunztor nivelului logicsuperior. Deci, ncrcarea cu sarcin negativ a porii flotante duce la dezactivareatranzistorului respectiv. n procesul de programare la utilizator, prin aplicareaimpulsurilor de programare este realizat dezactivarea unor tranzistoare din nodurilematricei codificatoare, n conformitate cu programul ce urmeaz s fie nscris. tergereainformaiei nscrise, adic readucerea tuturor tranzistoarelor n stare activ, se poateface prin iradierea matricei de memorie cu radiaii ultraviolete prin fereastra de cuar cucare este prevzut capsula. Dup tergere, memoria poate fi programat din nou.Operaiile de tergere i reprogramare pot fi repetate de mai multe ori.

    n afar de memoriile ROM amintite mai sus, sunt de menionat i memoriileEAROM (Electricaly Alterabile ROM) sau EEPROM (Electricaly Erasable PROM)realizate n tehnologie MOS, prevzute cu posibilitatea tergerii pe cale electric.

    n figura 7.23 este artat organizarea tipic a unei memorii ROM de 8 kbii.

    121

    128

    8

  • 8/6/2019 C7 Memorii

    19/22

    Capitolul 7. Circuite de memorie

    Figura 7.22.Organizarea tipic a unei memorii de 8 kbii

    Se constat c selecia unui cuvnt de ieire de 8 bii (O 7, ,O0) nu se face cu ajutorulunui DCD cu 1024 linii de ieire ci, n scopul reducerii numrului de pori folosite suntutilizate: un DCD cu 128 de linii i 8 MUX cu 8 ci. Intrrile CS1 i CS2 permit selectareasau deselectarea capsulei de memorie prin intermediul celor 8 amplificatoare de ieire(circuite I-NU) care sunt prevzute cu etaje de ieire avnd colectorul n gol sau suntcircuite cu trei stri. Aceste dou tipuri de circuite de ieire fac posibil interconectareaieirilor a mai multor capsule de memorie respectiv conectarea capsulei la o magistral.

    Pentru memoriile ROM un ciclu tipic este dat n figura 7.24. Semnificaia notaiiloreste urmtoarea:- tRC - durata ciclului de citire;- tA - timp de acces la memorie;- tCS - intervalul de timp n raport cu CS dup care apar date stabile la ieire;- tOE - intervalul de timp dup care apar date stabile la ieire n raport cu impulsul OE ;- tOH - timp de meninere a datelor la ieire dup dispariia impulsului OE .Impulsul de comand a ieirii OE n general se poate aplica simultan cu CS .

    Figura 7.23. Ciclu tipic pentru citirea unei memorii ROM

    n cazul memoriilor programabile la utilizator, operaia de programare se efectueaz nstare neselectat a capsulei, iar pentru memoriile EPROM, capsula este prevzut cu ointrare suplimentar la care sunt aplicate impulsurile de programare.

    122

  • 8/6/2019 C7 Memorii

    20/22

    Circuite digitale

    Figura 7.24. Structura intern a unei memorii PROM, EPROM

    Structura intern a unei memorii PROM, EPROM este prezentat n figura 7.25.Circuitul de ieire trebuie s asigure posibilitatea programrii memoriei n cazulmemoriilor PROM sau EPROM. Semnalul de control CS face selecia circuituluicontrolnd i starea ieirilor (mare impedan sau conectate la magistrala de date) ntimp ce semnalul OE (output enable) controleaz numai starea circuitelor de ieire.Pentru OE =1 ieirea este n stare de mare impedan. Acelai lucru se ntmpl idac CS =1 indiferent de valoarea lui OE .Trebuie amintit c n general deselectarea circuitului (CS =1) atrage dup sine iscderea puterii consumate de circuit de la sursa de alimentare fr a se alterainformaia memorat. Acest lucru l ntlnim att la memoriile RAM ct i ROM (PROM,EPROM).

    Forma impulsurilor i duratele lor pentru programarea unei memorii (PROM,EPROM) se d n cataloagele circuitelor respective.

    Avnd n vedere dublul caracter al memoriilor ROM (PROM, EPROM), deconvertor de cod i memorie, aria de utilizare a acestora este extrem de larg. Dintreprincipalele aplicaii se pot meniona urmtoarele:Memorarea instruciunilor i datelorn sisteme de calcul i automate secveniale.Efectuarea transformrilor de adres i nmagazinarea microinstruciunilor, nmicroprogramare.Implementarea CLC cu un numr mare de variabile de intrare i cu mai multe ieiri. ncazul acestei aplicaii este de remarcat faptul c datorit structurii interne a memorieiROM fiecare linie de cuvnt reprezint un termen al formei canonice, iar codificatorulpermite nsumarea acestor termeni n conformitate cu tabelul de adevr, eliminndu-senecesitatea minimizrii.

    Conversia de cod este de asemenea una din aplicaiile frecvente ale memoriilor fixe.Sunt de amintit aici convertoarele pentru afiarea prin 7 segmente sau 35 de puncte,precum i generatoarele de caracter care deservesc sistemele pentru afiareaalfanumeric a datelor pe ecranul unui tub catodic.Realizarea unor tabele de funcii n cadrul sistemelor de calcul sau conducere numeric,

    care constituie n fond un sistem de conversie a codului binar reprezentnd argumentulfunciei n codul de ieire care furnizeaz valorile corespunztoare ale funciei. Se

    123

  • 8/6/2019 C7 Memorii

    21/22

    Capitolul 7. Circuite de memorie

    realizeaz astfel de tabele pentru funcii frecvent ntlnite ca cele logaritmice,trigonometrice sau exponeniale.Generarea unor secvene de impulsuri cu ajutorul memoriei ROM, realizabil prinprogramarea corespunztoare a acesteia i modificarea secvenial a adresei de intrarecu ajutorul unei numrtor binar. La cele kieiri de date se poate obine acelai numr

    de secvene distincte.Este de menionat c n toate aplicaiile memoriei fixe este necesar s se

    urmreasc utilizarea ct mai complet a capacitii sale, respectiv s se adopte msuride reducere a capacitii necesare prin codificare la intrare sau decodificare la ieire.

    7.4 Extinderea capacitii memoriilor

    Dat fiind capacitatea unei capsule de memorie prin produsul dintre numrul decuvinte m i numrul de bii ai cuvntului de ieire k, exist posibilitatea de a realiza omemorie de capacitate mai mare prin utilizarea unui numr sporit de capsule.

    Extinderea capacitii se poate obine acionnd asupra numrului de cuvinte, lsndnumrul biilor pe cuvnt neschimbat (extindere la intrare sau de adres), modificndnumrul biilor de ieire la un numr neschimbat de cuvinte (extindere la ieire),respectiv att prin modificarea lui m ct i a lui k (extindere mixt). Figura 7.26ilustreaz extinderea de adres de la o capacitate de (1x8) Kbii pe capsul la (4x8)Kbii, iar n figura 7.27 este prezentat extinderea mixt de la (1x8) Kbii pe capsul la(2x16) Kbii.

    Figura 7.25. Extinderea de adres

    a capacitii memoriilor

    Figura 7.26. Extinderea mixt

    a capacitii memoriilor

    124

  • 8/6/2019 C7 Memorii

    22/22

    Circuite digitale

    125


Recommended